ALD/ALE 2024 Session AA-TuP: ALD Applications Poster Session

Tuesday, August 6, 2024 5:45 PM in Room Hall 3
Tuesday Evening

Session Abstract Book
(793KB, Jun 24, 2024)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

AA-TuP-1 Scalable Fabrication of Catalysts for Proton Exchange Membrane Water Electrolysis
Peter M. Piechulla, Mingliang Chen (Delft University of Technology); Mena Kräenbring, Fatih Özcan, Doris Segets (University of Duisburg-Essen, Germany); J. Ruud van Ommen (Delft University of Technology)

The phenomenal growth in renewable power generation has even further increased research interest in the fields of energy storage and material conversion through electrolysis. A key element in any electrolysis process is a catalyst tailored to the targeted reaction, e.g. platinum group metals (PGMs) for water splitting. Due to the immense scale of the problem, and the cost and scarcity of PGMs, economical use of these materials is imperative. Hence, catalysts are typically dispersed on a support material, e.g., Pt-loaded carbon black (Pt/C) as cathode catalyst in proton exchange membrane water electrolysis (PEMWE). Compared to bulk Pt, a larger fraction of atoms is exposed at the surface, thus leading to a higher catalytic activity per unit weight of Pt. Traditional fabrication methods for Pt/C, such as incipient wet impregnation, allow control over the amount of deposited Pt; however, the morphology and dispersion are very difficult to control with this method.

In this work, we propose an alternative and economically feasible fabrication route for Pt/C catalyst layers based on ALD. We have previously shown that Pt/C can be fabricated via atmospheric pressure ALD on particles in a fluidized bed (FBR-ALD), a method that is readily scalable [1]. In contrast to traditional fabrication methods, we can control the morphology and achieve a finer dispersion of deposited Pt [1, 2], which enables higher catalytic activity at reduced Pt loading. First, we use FBR-ALD to fabricate tailored Pt/C for PEMWE. Second, to establish the relationship between morphology and performance, we characterize the material using (HR)TEM and rotating disk electrode (RDE) measurements. From the latter, we infer the electrochemically active surface area (ECSA) as a relative indicator for performance. However, a full assessment of Pt/C requires testing in real-world applications, which is why we go one step beyond bare catalyst characterization and demonstrate the use of ALD-made Pt/C in lab-scale PEMWE cathodes. In conclusion, we demonstrate, for the first time, the fabrication of Pt/C via atmospheric pressure FBR-ALD, and its performance in PEMWE cathodes.

[1] F. Grillo, H. Van Bui, J. A. Moulijn, M. T. Kreutzer, and J. R. van Ommen, “Understanding and Controlling the Aggregative Growth of Platinum Nanoparticles in Atomic Layer Deposition: An Avenue to Size Selection,” J. Phys. Chem. Lett. 2017, doi: 10.1021/acs.jpclett.6b02978

[2] F. Grillo, J. A. Moulijn, M. T. Kreutzer, and J. R. van Ommen, “Nanoparticle sintering in atomic layer deposition of supported catalysts: Kinetic modeling of the size distribution,” Catal. Today 2018, doi: 10.1016/j.cattod.2018.02.020

AA-TuP-3 Synergetic Effects of Nanoscale ALD-HfO2 Coatings and Bionic Microstructures for Anti-Adhesive Surgical Electrodes: Improved Cutting Performance, Antibacterial Property, and Biocompatibility
Jahra Mariam (Picosun Oy)

Electrosurgery uses electrical energy for the exact expulsion of diseased tissues with concurrent cutting and coagulation capabilities. These days, electrosurgery is the favored strategy for more than 90% of all surgeries because of its advantages, like better control, quicker hemostasis, and reduced patient pain. To meet the strict requirements of modern electrosurgery, it was crucial to develop a feasible approach to improve the overall performance of surgical electrodes including anti-adhesion and heat dissipation. In this work, we studied a coupled electrode with micro/nano hierarchical structures that are the coated surface that was found to have excellent blood antiadhesive properties when heated above a certain temperature. Additional experiments revealed that the use of a coupled electrode had notable benefits in minimizing cutting forces, thermal damage, and the amount of tissue adhesion. As a result, we chose a coupled electrode with micro/nano hierarchical structures that are made by applying nanoscale hafnium dioxide (HfO2) coatings onto bionic microstructures (BMs). The HfO2-coated electrode is superior to other electrodes in reducing the characteristics of cutting force, thermal damage, and tissue adhesion. Moreover, the electrode exhibited excellent antibacterial properties against E. coli and S. aureus, in addition, the non cytotoxic behaviour of HfO2 is verified, which indirectly proves the biocompatibility of the coupled electrode. This multifunctional coupled electrode is a highly promising candidate for advancing the field of electrosurgery. Its versatility and capabilities make it an indispensable tool for various surgical procedures. With its impressive performance and potential, this electrode is poised to revolutionize the way we approach surgical intervention.

View Supplemental Document (pdf)
AA-TuP-4 Plasma Enhanced Atomic Layer Deposition of Hafnium Oxide (HfO2) Thin Films for MIS and MIM Devices
Pallabi Paul, Enrico Brusaterra, Ina Ostermay, Eldad Bahat Treidel, Frank Brunner, Olaf Krüger (Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik (FBH))

Vertical GaN-based devices are gaining significant attention as an alternative to Si and SiC-based counterparts [1]. There is a rising trend in integrating amorphous oxides developed by atomic layer deposition (ALD) method as gate insulator material in such devices, driven by their favorable properties, such as, relatively high permittivity, large band gap and high breakdown electric field [2,3].

Our work focuses on investigating the structural, chemical and electrical properties of HfO2 layers fabricated by plasma enhance atomic layer deposition (PEALD) on n-type GaN substrates. The HfO2 films were developed at 250°C by PEALD technology employing the SI 500 PEALD cluster system from SENTECH Instruments GmbH. Tetrakis(dimethylamido)hafnium (TDMAH) and O2 plasma served as precursors, respectively, resulting in a growth per cycle of about 0.2 nm/cycle along with a very good uniformity over 100 mm diameter. The film properties and phase transition behavior were characterized by scanning electron microscopy, atomic force microscopy, X-ray reflectometry and X-ray photoelectron spectroscopy techniques. Optimized process parameters enabled films with high refractive index, high density, good stoichiometry, and low impurities; which are crucial for their suitability in device applications. Further, the stability and durability of annealed (at 350°C and 500°C) PEALD HfO2 layers were examined. Presently, we are investigating the capacitance-voltage characteristics of these layers within MIS (metal-insulator-semiconductor) capacitor structures in order to comprehensively understand the interface trap charges and hysteresis behavior. The obtained data will be compared to our previous work using alternative stacks of thermal and PEALD Al2O3 layers [4]. Further, the breakdown field strength of HfO2 films in comparison to Al2O3 based layers will be presented using MIM (metal-insulator-metal) devices.

Ongoing experiments on PEALD HfO2 layers are executed to evaluate the potential enhancement in device performance as compared to Al2O3 multilayer stacks. HfO2 is anticipated to exhibit a lower sub-threshold slope and a larger gate span, resulting in lower gate charge for the same modulation, thus enhancing energetic efficiency; along with broadening the material portfolio available for such applications.

References:

[1] Oka et.al., Proc. 31st Int. Symp. Power Semiconductor Devices ICs (ISPSD), Vol. 303 (2019).

[2] S. M. George, Chemical Reviews 110, 1, 111-131 (2010).

[3] van Hemmen et.al., J. Electrochem. Soc., 154, G156 (2017).

[4] Tadmor et.al., J. Appl. Phys. (2024), accepted.

AA-TuP-5 Improving the Thermoelectric Properties of α-Mgagsb Through Powder Atomic Layer Deposition
Irene Garcia, Amin Bahrami, Pingjun Ying, Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research)
In recent years, Mg-based materials have started rivalling the performance and reliability of commercial Bi2Te3 thanks to doping and phase engineering. Through the application of Powder Atomic Layer Deposition (pALD) on thermoelectric powders, it is possible to create heterogeneous interfaces at grain boundaries. This modification alters carrier and phonon scatterings, ultimately enhancing the material's thermoelectric performance. In our study, we investigate the impact of coating α-MgAgSb with Sb2Te3 layers using pALD. The results indicate a consistent and linear decrease in total thermal conductivity as the number of coating cycles increases. To the best of our knowledge, this study marks the first report on the use of non-oxide pALD coatings for thermoelectric optimization. Our findings underscore the efficacy of pALD in reducing thermal conductivity, thereby opening up new avenues for future research on the deposition of oxygen-free semiconductors and pure metals at grain boundaries. This innovation holds promise for further advancing the field of thermoelectric materials. View Supplemental Document (pdf)
AA-TuP-6 Industrially Scalable Atomic Layer Deposition of Superconducting Thin Films of Tin on Large Area Wafer Substrates with Applied® Picosun® Morpher™
Shashank Shukla, Avisek Ghosh, Jahra Mariam, Sukanta Datta, Safdar Muhammad, Shahid Younis, Anjali Sood (Applied Materials Inc.)
Thin-film TiN is known to have one of the highest kinetic inductances in superconducting materials, which has implications in a wide range of applications – such as high frequency single photon detectors, ultra-sensitive current detectors, hybrid quantum systems and protected qubit devices (1) . We report on the demonstration of Atomic Layer Deposition (ALD) process of thin film TiN, on large area Si wafer format (300 mm) with Applied® Picosun® Morpher™ class of ALD reactors, with the future possibility of industrial scalability of such films. Atomically thin layers of 100nm TiN films were deposited on 300 mm and 200 mm Si wafers at elevated temperatures with a GPC (growth per cycle) of 0.2 Å/cycle, resulting in uniform TiN films with an effective resistivity of ~150 µΩ-cm. 15 nm of TiN test deposition resulted in a film with refractive index of 1.35, and with a 1σ non-uniformity of 1.35%. This demonstrates the quality of films that can be deposited with ALD for large wafer formats and the near-future possibility of batch ALD of films that are critical for advanced technologies like superconductors and quantum computing devices. X-ray diffraction studies are being undertaken to assess the crystallinities and crystal orientations of the films. Further to our studies, these TiN films will be sent for critical temperature resistivity measurements and XPS analysis for surface defects and surface elemental compositions. All these results will be summarized in our poster presentation. (1)Abigail Shearrow, Dave Awschalom, David I Schuster et al., 2018, Appl. Phys. Lett. 113, 21260
AA-TuP-7 High-performance Dichroic Filters by Atomic Layer Deposition for Large-Scale Neutrino Detectors
Yimin Hu (Raytum Photonics, Inc); Feng Niu, Stephen Lekarz, Wei Lu (Raytum Photonics, inc.)

High-performance dichroic filters (DFs) are key photon wavelength sorting devices for Cherenkov and scintillation light in water- and scintillator-based neutrino detectors. Future detectors, DUNE and THIEA, require high-performance large-area DFs at a low cost. DFs are traditionally manufactured by various physical vapor deposition (PVD) techniques with many intrinsic drawbacks such as high cost, and poor large size uniformity. Atomic Layer Deposition (ALD) has been well established for precise thickness control, excellent large-area uniformity, super conformity for coatings on complex surfaces, and low growth temperatures, thus provides an excellent solution to manufacturing various bandpass DFs requiring tight specs for multiple dielectric layer coatings and for precise wavelength positioning and steepness on large area flat and curved glass and polymer substrates.

Raytum Photonics is specialized in developing advanced ALD technology for optical applications. In this presentation we will demonstrate that a long pass (LP) edge filter in 360-500nm with a high transmission band ≥ 90% at 400-500nm, and a low transmission in the blocking band < 400nm has been achieved by ALD consisting of 64 dielectric layers on a variety of glass substrates up to 4-inch diameter in size. The cut-on edge around 400nm is sharp with about 10nm broadening. We will further demonstrate that a short pass (SP) DF in 320-500nm with a high transmission band around 85% < 400nm was successfully fabricated all by ALD consisting of 48 dielectric layers on 100mmx77mm B270 glass substrates with excellent uniformity (<1%) and a sharp cut-off edge with around a 10nm broadening. Optical loss mechanisms, light angular performance, and medium dependence for further improvement were investigated and will be presented.

This work presents a cost-effective DF fabrication method by ALD coating technique for large-scale neutrino detectors with a potential path for commercialization. Prototypes of both LP and SP DFs have been demonstrated and an ALD batch process is being developed and DFs are being produced and are scheduled for the detector performance test in liquid argon at Brookhaven National Lab.

This work is sponsored by Department of Energy, USA, under contract: DE-SC0021683.

AA-TuP-8 Remarkable Productivity and Performance of Flexible Indium Zinc Oxide Thin Film Transistors through Composition Engineering via Atmospheric Pressure Spatial Atomic Layer Deposition
Chi-Hoon Lee, Kwang Su Yoo, Dong-Gyu Kim, Chang-Kyun Park, Jin-Seong Park (Hanyang University, Korea)
Indium-zinc oxide (IZO) thin-film transistors (TFTs), a form of amorphous oxide semiconductors (AOSs), are gaining recognition as ideal channel materials in TFTs. Their attributes include a wide band gap, high on-off ratio, excellent electron mobility, and robust thermal and mechanical reliability. The creation of IZO thin films with varying metal cation ratios has been pursued using methods such as thermal evaporation, chemical vapor deposition (CVD), wet solution process, pulsed laser deposition, sputtering, and atomic layer deposition (ALD). ALD has proven effective in managing nanoscale thickness and adjusting the metal cation ratio, but its low deposition rate hinders industrial productivity. To address this, spatial atomic layer deposition (S-ALD) was proposed. S-ALD, capable of operating at atmospheric pressure, spatially separates the precursor and reactant using an inert gas, thus enhancing the deposition rate.
Our research utilized AP S-ALD to investigate the growth of IZO thin films with varying metal cation compositions. We examined how these compositions influenced the physical and chemical properties of the IZO films. Various characterizations were conducted to thoroughly evaluate the films. Physical properties were analyzed through Hall measurements, X-ray diffraction (XRD), and atomic force microscopy (AFM), exploring the electrical properties, crystallinity, and surface roughness. Chemical properties were evaluated using X-ray photoelectron spectroscopy (XPS), confirming the presence of carbon impurities and changes in the oxygen defect ratio.
Our analysis indicated that the characteristics of IZO films significantly influence the performance of IZO TFTs. We found that indium 43 at% IZO films, demonstrating superior TFT mobility (45.7 cm2/V·s) and reliability (ΔVTH = 0.63 V), were most suitable for use as active layers in TFTs. Moreover, a bending test performed on these films confirmed their mechanical stability. The findings suggest that IZO TFTs developed via AP S-ALD hold promise for future applications in the flexible electronics industry.
View Supplemental Document (pdf)
AA-TuP-9 Investigation into ALD-CuSx as a Precatalyst for Electrochemical CO2 Reduction
Milla Suominen (Aalto University); Miia Mäntymäki, Miika Mattinen (University of Helsinki); Jani Sainio (Aalto University); Matti Putkonen (University of Helsinki); Tanja Kallio (Aalto University)

Power-to-X concepts make use of excess renewable energy by converting it into valuable chemicals, fuels or heat. One attractive power-to-X concept is the electrochemical reduction of CO2 to form more valuable chemicals. In this work, we studied the electrochemical reduction of CO2 in a flow cell with the aim of transforming CO2 into formate.1 Gas diffusion electrodes comprising of O3-modified single-walled carbon nanotubes were coated with CuSx precatalyst films using ALD. Two different CuSx processes were studied in this work, using either Cu(thd)2 or Cu(acac)2 as the copper precursor and H2S as the source of sulfur. Cu(thd)2 depositions were done at 130 °C and Cu(acac)2 depositions at 160 °C. Different electrode configurations and electrolysis conditions were studied and optimized. The best results were obtained using the Cu(acac)2 precursor, which appears to form a copper(I) sulfide based on XPS quantification of Cu and S, and X-ray diffraction experiments.

Optimized electrolysis conditions (0.5 M KHCO3 (aq) at 40 °C, Figure 1) resulted in Faradaic efficiencies for formate formation in the range of 40 to 50%. Other electrolysis products included H2 and CO in all experiments. Most of the S was lost during the electrolysis experiments. Sulfur leaching has also been reported in previous studies with CuSx precatalysts formed using other techniques.2 Our experiments with ALD copper modified electrodes showed that sulfur plays an integral part in the electrolysis process despite apparently leaching out of the precatalyst in the early stages of the reaction. In a comparison experiment, metallic copper films deposited onto the same electrode and used in the same electrolysis conditions resulted in H2 as the main reduction product, highlighting the importance of sulfur in obtaining significant amounts of formate in the flow cell system.

References:

1. M. Suominen et. al. Materials Today Sustainability 24 (2023) 100575.

2. K. R. Phillips et al. J. Phys. Chem. Lett. 9 (2018), 4407.

View Supplemental Document (pdf)
AA-TuP-11 In-Situ Crystallization of Atomic Layer Deposited TiO2/MoOX Stack for Metal-Insulator-Metal Capacitor Application
Chaeyeong Hwang, Woojin Jeon (Kyung Hee University)

Metal-insulator-metal (MIM) structures, such as capacitors in DRAM devices and blocking oxides in NAND flash memory devices, play a crucial role in determining the operational characteristics of various memory semiconductors. To enhance the performance of these devices, securing high capacitance with low leakage current in MIM capacitors is essential [1].

Among the various high dielectric constant (k) materials, TiO2 is considered the most promising due to its very high dielectric constant of 170 when in a rutile crystalline structure. Additionally, various atomic layer deposition (ALD) processes for TiO2 thin films have been developed, making TiO2 a highly promising candidate. However, achieving a rutile crystalline phase in TiO2 requires the use of electrodes with crystalline similarity, such as Ru or MoO2, presenting a limitation [2].

Furthermore, the proposed electrodes, Ru and MoO2, have critical limitations in adapting to actual applications due to severe morphology degradation during subsequent processes. Ru tends to oxidize to RuO2 or RuO4 and reduce to Ru easily due to its relatively low reduction-oxidation resistance, resulting in surface morphology degradation [3]. During the formation of MoO2, a reduction process inevitably leads to agglomeration phenomena that adversely affect MIM capacitor leakage current [4].

Therefore, in this study, we developed an in-situ crystallization of TiO2/MoOx to form a rutile-TiO2/MoO2 stack for achieving enhanced MIM capacitor characteristics with high capacitance density and low leakage current simultaneously. Various stack structures with varied TiO2 and MoOx film thicknesses were examined. By conducting various analyses, it was revealed that the enhancement in the MIM capacitor properties was attributed to the capping layer formed by a portion of the TiO2 film preventing agglomeration during the reduction process of MoOx.

References

  1. W. Jeon, J. Mater. Res., 35(7), 775 (2019)
  2. Y. Kim et al., J. Mater. Chem. C, 10(36), 12957 (2022)
  3. E. Y. Jung et al., Nanotechnology, 32, 045201 (2021)
  4. J. Park et al., ACS Appl. Electron. Mater, 5(8), 4542 (2023)
AA-TuP-13 ALD SiO2 Provides Efficient Ge Surface Passivation with a Tailorable Charge Polarity
Oskari Leiviskä, Hanchen Liu, John Fung, Joonas Isometsä, Ville Vähänissi, Hele Savin (Aalto University, Finland)

Atomic layer deposited (ALD) thin films have proven to be a highly effective method to reduce electronic recombination losses caused by defects present at the Si surfaces. Likewise, germanium (Ge) surfaces suffer from the same recombination problem and indeed, various ALD-based surface passivation schemes have been tried recently on them as well. The current methods utilize mainly so-called field effect passivation based on the negative fixed charge present in the film, such as aluminum oxide (Al2O3). The fixed charge induces an electric field to the vicinity of the substrate surface and thus prevents surface recombination by repelling electrons away from the surface. The negative charge can, however, be detrimental for certain applications. Therefore, there is a motivation to find a material which provides either a positive fixed charge or even better the ability to tailor the charge polarity.

In this work we propose plasma-enhanced atomic layer deposited (PE-ALD) silicon oxide (SiO2) layers as a positive charge containing material for passivation of Ge surfaces and apply them as further charge tailoring interlayers for Ge/Al2O3 interfaces, as was demonstrated previously for Si/Al2O3 interfaces. First, we study 10 nm thick PE-ALD SiO2 films on n-type single-crystalline Ge wafers from which the charge polarity is determined. Next, the impact of PE-ALD SiO2 layers at Ge/Al2O3 interface is studied by varying the SiO2 interlayer thickness in the range of 1-20 nm. The passivation quality is monitored by measuring the minority carrier lifetime (τeff) and the thin film charge (Qtot) is determined from contactless capacitance-voltage (C-V) measurement.

The results demonstrate that a bare PE-ALD SiO2 film provides lifetimes in a similar range (> 1 ms) as previous state-of-the-art Ge surface passivation schemes. Surface recombination is seen to increase when depositing negative corona charge at the surface (i.e. effective neutralization of fixed charge) indicating the formation of positive charge on the Ge/SiO2 interface. Figure 1 presents both the τeff and the Qtot obtained with an SiO2 interlayer with varying nominal thickness at Ge/Al2O3 interface. C-V measurements show that ALD SiO2 interlayers at the Ge/Al2O3 interface allow us to tailor the effective charge polarity from negative to positive by gradually increasing the SiO2 layer thickness from ultrathin to thicker layers. Changes in the interlayer thickness at the Ge/Al2O3 interface caused a shift from negative effective charge to positive as the thickness of SiO2 increased. This also influences the τeff measured from these samples, implying an altering presence of field-effect passivation.

View Supplemental Document (pdf)
AA-TuP-14 Optimization of Thin Film Encapsulation Layers by ALD and SALD for Perovskite-Silicon Tandem Solar Cells
Aubin Parmentier, Damien Coutancier (Institut Photovoltaïque d’Île de France (IPVF)); Timéa Bejat, Stéphane Cros (Commissariat aux Energies Atomique et Alternatives (CEA) Liten); David Muñoz-Rojas (Université Grenoble Alpes, CNRS, Grenoble INP, LMGP); Nathanaelle Schneider (Institut Photovoltaïque d’Île de France (IPVF))
In the context of decarbonization of energy production, new types of photovoltaic solar cells are elaborated to achieve higher power conversion efficiencies at lower manufacturing costs. One particular solution is considered: tandem silicon-perovskite cells, which combine a silicon bottom cell with a perovskite-based top cell that have reached 33.9 % efficiencies [1]. However, those new architectures come with new challenges. Perovskite are sensitive to humidity, which severely limits their long-term stability. One possible solution to protect them from extrinsic degradation and increase their durability is the use of efficient barrier layers, often referred as encapsulation layers. [2].
Thin Film Encapsulation (TFE) is a powerful method to encapsulate solar cells. Among the various thin film deposition method available, Atomic Layer Deposition (ALD) is an attractive technique. Indeed, it enables the deposition of thin films of controlled thickness, uniform, dense [3], with very low pinhole defect densities and at low temperature (< 100 °C to avoid damaging the perovskite top cell [4]).
Indeed, inorganic or hybrid organic-inorganic nanolaminate structures synthesized by ALD are very promising have Water Vapor Transmission Rate (WVTR, the physical quantity used to quantify barrier properties to water vapor diffusion [5]) values up to the order of magnitude of 10^(-6) g.m^(-2).d^(-1) [6]. They have already been successfully applied to OLED, which have even more demanding requirements than perovskite cells [7]. In addition, encapsulation films must have sufficient mechanical properties to prevent cracks forming in them when subjected to shocks, and especially bending stresses. There are many candidate materials, most of which are metal oxides (to name but a few: 〖TiO〗_2 [8], 〖ZrO〗_2 [9], MgO [10]). They are often combined in nanolaminate structures with their metalcone hybrid counterparts [6], opening up a wide range of possibilities for the synthesis of encapsulating films.
As water permeation measurements are time-consuming, we are developing strategies to more efficiently screen encapsulation solution and take full benefit from ALD advantages (film versatility, atom-level control of thickness). This study proposes the optimization of nanolaminate layers using numerical simulations and helium permeation measurements. The comparative results obtained with helium diffusion are extrapolable to the results that could be obtained with water [11].
Finally, this study compares the benefits and challenges of ALD and SALD (Spatial ALD).[12].
View Supplemental Document (pdf)
AA-TuP-15 Atomic Layer Deposition of Thermoelectric Al -Doped Zno (Azo) Films on Flexible Ion Track Etched Pet Templates
Esa Alakoski (Jamk University of Applied Sciences, Institute of New Industry, Jyväskylä Finland); Sami Kinnunen (University of Jyväskylä, Department of Physics, Jyväskylä, Finland); Timo Laine (Jamk University of Applied Sciences, Institute of New Industry, Jyväskylä, Finland); Tewari Girish (Aalto University, Department of Chemistry and Materials Science, Finland); Jaakko Julin (University of Jyväskylä, Department of Physics, Jyväskylä, Finland); Maarit Karppinen (Aalto University, Department of Chemistry and Materials Science, Finland)

Atomic scale thickness control and superior conformality make ALD the optimal deposition method for preparing nanostructured coatings and in the case of TE materials coatings with tailored thermal and electronic properties [1]. Even more freedom for “the atomic architect” is given by the development of MLD (Molecular Layer Deposition, “The ALD of organic materials”) and the ability prepare organic/inorganic hybrid coatings and superlattice structures.[2] With superlattice structures thermal conductivity of a TE thin films can be significantly reduced e.g with ZnO:HQ(Hydroquinone) by a factor of 1/50 [3]. The most promising field of application of thin film TE devices is in wearable electronics, miniaturized biomedical devices and sensors.

References: [1] Karppinen M. and Karttunen A. J., Department of Chemistry, Aalto University, Finland. ALD of thermoelectric materials, Chapter in ALD book (2015).[2] P. Sundberg, M. Karppinen, Organic and inorganic–organic thin film structures by molecular layer deposition: A review,Beilstein J. Nanotechnol. 5,1104–1136 (2014).[3]F. Krahl, A. Giri, J.A. Tomko, T. Tynell, P.E. Hopkins & M. Karppinen, Thermal conductivity reduction at inorganic-organic interfaces: from regular superlattices to irregular gradient layer sequences, Advanced Materials Interfaces 5, 1701692 (2018).

View Supplemental Document (pdf)
AA-TuP-16 Improvement of Interfacial Properties of ZrO2/Al2O3/TiN Capacitors Grown by Atomic Layer Deposition through Ar Plasma Treatment
HyeongJun Kim, Haram Yang, Woongkyu Lee (Soongsil University)

The development of next-generation Dynamic Random Access Memory (DRAM) capacitors emphasizes the scaling of devices by employing high-k dielectric materials to achieve high capacitance and low leakage current at a smaller cell size. DRAM capacitor currently utilizes dielectric material of ZrO2 and Al2O3-based multilayers in mass production. This multilayer structure has been used thanks to its high dielectric constant, appropriate bandgap, and excellent leakage current characteristics. However, challenges arise due to the decrease in dielectric thickness, and it is difficult to maintain the physical properties of the thin film itself or to deteriorate properties due to interfacial layers forming between the electrode and the dielectric film.

While significant study on atomic layer deposition (ALD) is underway for excellent high-k oxides such as ZrO2, chemical-reaction-based deposition processes have been reported to result in the formation of undesired low-permittivity interfacial layers between the electrode and dielectric layer. This persistent issue leads to degradation in the electrical properties of capacitors. To decrease the so-called extrinsic dead layer effect, the bottom electrode should be prevented from the oxidation or the already formed interfacial layer should be reduced again.

In this study, Ar plasma treatment was applied to planar capacitors of ZrO2/Al2O3 dielectric layer and TiN bottom electrode to suppress the interfacial low-k layer (TiOx, TiOxNy) formed between the bottom electrode and the dielectric film. ZrO2 and Al2O3 thin films were deposited through an ALD process at 300oC with cyclopentadienyl tris(dimethylamino) zirconium and trimethyl aluminum as Zr and Al precursors, respectively. High density (~200 g/m3) O3 was used as an oxygen source for both ALD process. Au top electrode was deposited through a thermal evaporation process. Consequently, (top) 60 nm Au/ZrO2/ 4 nm Al2O3/100 nm TiN (bottom) structure was fabricated with various thickness of ZrO2. To investigate the plasma treatment effect on the interface and capacitor property, Ar plasma treatment was conducted before and after the deposition of the ZrO2 dielectric film at 300°C. Regardless of the plasma sequence, extrinsic dead layer between Al2O3 and TiN was removed and interfacial component of equivalent oxide thickness was decreased from 4.0 nm to 2.9 nm. Furthermore, by controlling the time and power of Ar plasma treatment, the feasibility of plasma treatment in three-dimensional structures was investigated. For Ar plasma treatment time of 4 – 10 min and power of 100-200 W, the capacitance was increased by about 38% with negligible variations. View Supplemental Document (pdf)
AA-TuP-17 Enhancing Resistive Switching Properties of TiO2 Thin Films Grown by Atomic Layer Deposition through Pyramid-Structured PDMS Substrate
Jaejun Lee, Kyunghun Lyu, Woongkyu Lee (Soongsil University)

The Resistive Random Access Memory (RRAM) is a type of non-volatile memory that has garnered significant attention for its potential advancements in the field of electronic storage. Recently, a well-fabricated RRAM device has been adopted as a key memristive artificial synaptic device for neuromorphic computing applications. RRAM operates based on the reversible Resistive Switching (RS) phenomenon, where the resistance state can be switched between Low Resistance State (LRS) and High Resistance State (HRS) through set operations (transitioning from HRS to LRS) and reset operations (transitioning from LRS to HRS). RRAM has advantages such as non-volatility, allowing data preservation even when power is off, low power consumption, and high speed. However, in commonly used flat RRAM, controlling precise RS is challenging due to the random occurrence of Conductive Filaments (CF). This leads to issues with non-uniform RS characteristics, impacting parameters like set/reset voltage and on/off ratio. To address these issues, researchers are exploring methods such as creating a pyramid-structured substrate[1] or inserting electrode materials between insulators[2] to induce the formation of CFs.

In this study, a polydimethylsiloxane (PDMS) polymer substrate with a pyramid structure, rather than a flat substrate such as Si single crystal, was utilized to induce the formation of CFs in specific regions, aiming to enhance the electrical characteristics. The RRAM device was fabricated by sequentially depositing a bottom electrode, insulator, and top electrode onto the PDMS substrate. The device fabrication involved using a thermal evaporator to deposit Au for both the bottom and top electrodes. The insulator was grown by atomic layer deposition (ALD) to ensure the complete coverage of 3D structured bottom electrode to prevent short-circuiting between top and bottom delectrodes. The insulator layer was deposited using Titanium tetraisoporoxide (TTIP) and H2O to form TiO2. Through these steps, an RRAM device with an Au/TiO2/Au/PDMS structure was created.

The fabricated device was characterized by I-V measurements to evaluate stability, set/reset voltage, and resistance, deriving the on/off ratio with respect to the number of RS cycles. The results demonstrated stable set/reset voltages of 3.15±0.36V and -1.44±0.35V, respectively, even after 1000 RS cycles, ensuring a sufficiently high and stable on/off ratio. This presentation discusses the impact of the pyramid structure on resistance change characteristics and proposes a method to enhance RRAM technology.

References [1] Adv. Mater., 2013, 25, 1987-1992. [2] Adv. Electron. Mater., 2016, 2, 1600233.

View Supplemental Document (pdf)
AA-TuP-19 Nucleation of Ald Grown Gate Dielectrics on WS2 Using Low Temperature Oxygen Plasma Pretreatment
Robert Grubbs, Daire Cott, Johan Swerts, Benjamin Groven, Thomas van Pelt, Stefan Nemeth, Pierre Morin, Cesar Javier Lockhart de la Rosa, Gouri Kar (IMEC, Belgium)
Due the ever decreasing device size driven by the electronics industry, the future of channel materials for small transistors is heading toward the use of two dimensional transitional metal dichalcogenide (2d TMD) materials. 2d TMDs are beneficial in the short channel regime because of their potential high on-to-off current ratios and because of their potentially high channel mobilities, or conductance, between the source and the drain. Beyond TMD electrical and structural quality, two large challenges exist for the implementation of TMDs into transistors. First is the electrical contact of source and drain metals to the TMD and second is the deposition of a nanometer thin, high quality, high k, defect free dielectric material on top of the TMD to form the critical transistor gate dielectric. To tackle the second challenge, the surface of WS2 TMD was functionalized with a low temperature remote oxygen plasma which enabled nucleation sites to form on the surface of WS2 without catastrophic destruction of the long-range order of the WS2. From these nucleation sites, ALD HfO2 and Al2O3 using TMEAH (tetrakis(methylethylamido)hafnium)/H2O and TMA (trimethylaluminum)/H2O at 200C was deposited as the gate dielectric and a 5 nm thin layer with 100% coverage could be achieved at increased oxygen plasma exposures. This WS2 functionalization / nucleation process was explored by measuring the effects of oxygen exposure and temperature and their resulting effect on the ALD deposited gate dielectric film. The ALD gate dielectric coverage and its effects on the underlying 2D materialwas quantified with AFM, XPS, photoluminescence and Raman spectroscopy. This research has led to a process where multi-layer WS2 can be functionalized and a high-quality gate dielectric can be successfully deposited on the TMD channel materials.
AA-TuP-21 New Method for Ohmic Metal to Si Contact Formation Utilizing Highly Charged ALD Dielectric
Lassi Lahtiluoma, Olli Setälä, Hele Savin, Ville Vähänissi (Aalto University, Finland)

Ohmic contacts are typically achieved by increasing the charge carrier concentration under the metal by heavily doping the surface layer of the semiconductor substrate. However, such a heavy doping can cause damage to the semiconductor crystal structure and increase electrical losses via charge carrier recombination. Moreover, the doping processes require the use of high temperatures, which adds process complexity and restricts the use of certain material combinations. Thus, it would be highly beneficial for the entire semiconductor industry if the ohmic contact could be formed without external doping.

Here we propose a novel concept for ohmic metal to semiconductor contact formation. We utilize a specific atomic layer deposited (ALD) dielectric that is known to have a high charge density when deposited on top of semiconductor. A schematic of the concept is depicted in Figure 1. The charge present in the dielectric induces an electric field to the underlying substrate. Depending on the polarity of the charge, electric field pushes one type of carrier to the bulk and attracts the other towards the surface, leading to the formation of either electron or hole rich surface layer similarly to conventional external doping via phosphorus or boron, respectively. We propose that by etching suitable openings into the dielectric and by depositing a metal layer on top, an ohmic metal to silicon contact could be achieved. The dielectric-attracted carriers should drift underneath the openings and enable current flow in the metal-Si interface.

For experimental testing of the proposed concept, we selected Al2O3 as the ALD dielectric, Si as the substrate and Al as the metal. To characterize the quality of the formed Al to Si contact, we fabricated transfer length method test structures and carried out I-V measurements on them. An example of the obtained I-V curves is presented in Figure 2. The results reveal that an ohmic contact can be achieved with the proposed structure, and that the contact resistivities can get as low as 1.10 mΩcm2, which is comparable to that of conventional heavily doped contacts (1 mΩcm2). As the contact resistivity can be supposedly lowered by increasing the Al2O3 charge with e.g. different ALD precursors, higher post-deposition anneal temperatures and nanostructuring the substrate surface, the proposed concept might have potential to dethrone heavy doping as the industry standard for achieving low-resistivity ohmic contact. View Supplemental Document (pdf)
AA-TuP-22 Co metal ALD on Cu with Cyclic clean by Peroxide and Hydrazine for Inverse Hybrid Metal Bonding
Cheng-Hsuan Kuo, Andrew Kummel (University of California at San Diego)
Both thermal compression bonding and inverse hybrid bonding are extremely sensitive to the exact height of the Cu pads/bumps as well as nanometer scale particles; therefore, new bonding techniques are being investigated which are less sensitive to these issues. However for IHB (inverse hybrid Bonding), surface cleanliness is important to selectively deposit metal Co on Cu as the bonding material. Common surface contaminations include carbides and oxides. However, Cu oxy-carbides (CuOxCy) cannot be fully removed by regular UHV (ultra high vacuum) annealing at 415C. By dosing peroxide (HOOH(g)) as the oxidant and hydrazine (N2H4(g)) as a reductant in a cyclic fashion, surface CuOxCy(s) can be removed enabling 1000s of cycles of selective Co ALD.In-situ XPS was employed to study the chemical composition of the Cu substrate before and after cyclic clean. Yield was calculated based on the connection rate of the Cu daisy chain after Co ALD as observed by SEM. It shows that with cyclic clean treatment, connection yield is up to 100% after 500 cycles Co ALD. View Supplemental Document (pdf)
AA-TuP-23 Atomic Layer Deposition of Titanium-Sulfide Films- a Study of Growth, Crystallinity, and Electric Properties
Zsófia Baji (Centre for Energy Research)

Since the success of graphene, 2D materials have gained tremendous research interest. Layered 2D semiconductors have recently become widely studied materials as they can be applied in nanosized transistors, photodetector LEDs, solar cells, and sensing devices. Due to their atomically smooth surfaces, the built-in trap concentration can be minimal, and therefore more stable and higher performance devices can be fabricated from them. 2D materials with sizable bandgaps, such as transition metal dichalcogenides have been in the focus of research, as they lack the drawback of the absence of an intrinsic band gap in graphene. The advantages of ALD, including the precise thickness and compositional control and the conformal coating of complex geometries, make it attractive for the surface engineering of these devices.

Titanium sulphide (TiS2) is a transition metal dichalcogenide with a layered structure similar to that of MoS2: the strong covalent bonding within the atoms of S-Ti-S forms a sheet like arrangement with the Van der Waals forces holding the layered structure together. Electronic properties of TiS2 are unique, as the Ti atomic sheets surrounded by chalcogen atoms are highly conductive, and the material exhibits semiconducting or semi metallic properties, with arguments in the literature for both options. TiS2, however, is not only interesting for theoretical considerations, but also very promising for a number of applications: As the interplanar gap opens the possibility of ion intercalation, it can be applied as cathode material in Li, Na, and Mg ion batteries and hydrogen storage. TiS2 also shows interesting catalytic properties that can be used as counter-electrode in dye sensitized solar cells. The atomic layer deposition of Ti-sulphides has been possible, but the present work explores the use of Ti-isopropoxide combined with H2S for this purpose and compares its feasibility with the more widespread precursors.

The deposition took place in a Picosun SUNALETM R-200 type ALD reactor. Hall measurement in the Van der Pauw configuration was used to determine the specific resistivity, the carrier concentration and the mobility of the deposited layers. Scanning electron microscopic images were taken by a LEO 1540 XB system, transmission electron microscopic imaging was performed using a Titan Themis 200 image corrected TEM/STEM microscope. The atomic force microscope in use for the present work was an AIST-NT, SmartSPM 1010 instrument.

AA-TuP-25 Improved Mechanical Integrity of Li-ion Battery Anode Materials Coated with Al2O3 by ALD
Liliana Stan, Xinwei Zhou, Dewen Hou (Argonne National Laboratory); Hui Xiong (Boise State University); Likun Zhu (Purdue University); Yuzi Liu (Argonne National Laboratory)

Group IV elements and their oxides (Si, Ge, Sn, SiO, SnO2, etc) are considerable candidates as anode materials for high energy Li-ion batteries. They have much higher theoretical capacity than commercial graphite anode. However, these materials often suffer from structure degradation due to large volume change during cycling. To address this issue, different approaches have been explored including nanostructuring, doping, and surface coating. Al2O3 coating by ALD is considered a viable approach to improve the mechanical stability of high-capacity anode materials.

In this study, we used operando focused ion beam–scanning electron microscopy (FIB-SEM) to visualize the surface morphology change of Sn μm-sized particle coated by ALD with Al2O3 of different thicknesses (3 nm, 10 nm and 30 nm). We lithiated the Sn particles in operando mode and directly observed the morphology evolution in both coated/uncoated particles during cycling. Although the fracture of Al2O3 is inevitable, the Al2O3 breaks into a few smaller pieces instead of completely pulverization. The patches of Al2O3 that cover the surface of Sn particles provide local protection and reduce crack formation at the early stage of volume expansion. Interestingly, the 3 nm Al2O3 coating layer provides better protection than the 10 and 30 nm coating layers. Overall, the Al2O3 coating improves the mechanical property of large volume change anode materials and stabilizes their electrochemical cycling performance.

AA-TuP-27 Superior Reproducibility of Forming-Free Memristive Operation in Carbon Doped HfOx Film
Minjong Lee, Ye-Eun Hong, Jin-Hyun Kim, Dan Le, Doo San Kim (University of Texas at Dallas); Rino Choi (Inha University); Jacob Rohan, Greg Yeric (Cerfe Labs); Jiyoung Kim (University of Texas at Dallas)

Transition metal-oxide (TMO) memristors have received significant attention for advanced memory applications. The new paradigm of forming-free memristor, introducing additional defects, created a pathway for highly reliable and reproducible memristive operation [1]. In this context, C. A. Paz de Araujo et al. reported born-ON memristive characteristics in spin-on carbon-doped NiO films, which is expected to be correlated electron random-access-memory (CeRAM) with forming-free characteristics [2]. While this technique effectively triggers the prototype’s operational mechanism, spin-on deposition exhibits notable limitations in fabricating integrated circuits, particularly for 3D architecture.

In this study, we present the development of a prototype born-ON HfOx memristor achieved through atomic layer deposition (ALD). Carbon was introduced into the HfOx film using a super-cycle approach of atomic and molecular layer deposition (ALD and MLD) processes (Fig. 1a). We confirmed that the combination of ALD and MLD processes enables controllable carbon concentration ranging from 0 to 22% and above. Among these, the HfOx memristor with 15% carbon exhibits born-ON memristive operation. Variability was checked for five batches, and each batch consistently exhibited born-ON memristive behavior with consistent low and high resistance states (LRS and HRS) (Fig. 1b). These born-ON characteristics also provide reasonable device-to-device variations with micrometer-scaled device area (Fig. 2a). Interestingly, the IOFF decreases with a smaller device area, whereas the ON current (ION) does not change significantly. This implies that scaling down the device area to the nanoscale for memristive layers could potentially result in a higher ON/OFF current ratio with reduced IOFF. Moreover, this provides clear evidence that IOFF is more likely to homogeneously transport in the HfOx layer. The homogeneous transport for IOFF in HfOx devices can address stochasticity issues associated with the inhomogeneous size and spatial distributions, ensuring reliable operation for device/batch variations [3]. We thus believe that this carbon doped HfOx memristor stands out as a promising candidate for reliable emerging memory applications.

This research is supported by Cerfe Labs and KIAT granted by MOTIE Korea (P0017303).

[1] E. Ambrosi et al., IEEE IEDM, 443–446 (2022).
[2] C. A. Paz de Araujo et al., APL Mater. 10, 040904 (2022).
[3] A. Subramanian et al., Adv. Electron. Mater. 8, 2200172 (2022).

View Supplemental Document (pdf)
AA-TuP-28 Atomic Layer Deposition of Niobium Oxide using (Tert-butylimido)tris(diethylamino)niobium and Anhydrous Hydrogen Peroxide for Ferroelectric Hafnia Applications
Jin-Hyun Kim, Terry Ford, Minjong Lee, Dan N. Le, Thi Thu Huong Chu, Doo San Kim (University of Texas at Dallas); Marshall Benham, Jeffery Spiegelman (RASIRC); Si Joon Kim (Kangwon University); Jiyoung Kim (University of Texas at Dallas)

The ferroelectric Hf0.5Zr0.5O2 (HZO) has attracted extensive attention due to its robust ferroelectric properties and compatibility with back-end of line (BEOL) processes. Our previous research successfully demonstrated the fabrication of BEOL-compatible HZO films as thin as 4 nm using anhydrous hydrogen peroxide (H2O2) to achieve low operating voltages and high endurance.1 However, when processed at low temperatures, HZO films face reliability challenges, including fatigue, imprint, and retention. In addition, the reduction in film thickness leads to an increase in leakage current, which poses a serious challenge to further scaling. The drive for device size scaling also affects electrode thickness, which subsequently limits the tensile stress that is critical for promoting the ferroelectric orthorhombic phase formation in HZO films. Several methods have been proposed to further continue the scaling. One of the potential solutions is to dope or insert a thin layer of niobium oxide (Nb2O5) in the TiN/HZO/TiN stack. Recent studies have shown that doping HfO2 with niobium oxide enhances its dielectric constant while suppressing leakage current.2 In addition, inserting Nb2O5 film between the ferroelectric La-doped HZO and TiN electrode improved the ferroelectric response and reliability.3 Thus, to reduce the leakage current and promote the formation of ferroelectric orthorhombic phase during scaling, strategies such as Nb doping and interface engineering will be explored.

In this study, we will demonstrate the Nb2O5 ALD using (tert-butylimido)tris(diethylamino) -niobium (TBTEA-Nb) and HZO ALD process using TDMA-Hf/TDMA-Zr supercycle, both using anhydrous H2O2. We aim to investigate Nb doping and interface modification on the TiN/HZO/TiN stack, with variations in HZO film thickness.The effects of Nb2O5 on dielectric constant, leakage current, and polarization changes in ferroelectric HZO capacitors will be quantified using Keithley 4200A-SCS parameter analyzer and Agilent 4284A CV meter. In addition, the crystallization and composition of the films will be verified by grazing incidence X-ray diffraction and X-ray photoemission spectroscopy. The detailed experimental procedure and results will be presented.

We acknowledge the support from YEST and KEIT through the ISTD Program (No.20010806), and the backing from SRC via the GRC-LMD program (task#3001.001). We are also grateful to RASIRC for supplying the BRUTE hydrogen peroxide.

[1] Y. Jung et al., ALD/ALE 2022.

[2] C.Y. Bon et al., AIP Advances 10, 115117 (2020).

[3] M.I. Popovici et al., IEDM 2022.

AA-TuP-29 Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
Haojie Zhang, Stuart Parkin (Max Planck Institute of Microstructure Physics); Ralf B. Wehrspohn (Institute of Physics, Martin Luther University Halle-Wittenberg)
Transition-metal phosphides (TMP) prepared by atomic layer deposition (ALD) are reported for the first time. Ultrathin Co-P films were deposited by using PH3 plasma as the phosphorus source and an extra H2 plasma step to remove excess P in the growing films. The optimized ALD process proceeded by self-limited layer-by-layer growth, and the deposited Co-P films were highly pure and smooth. The Co-P films deposited via ALD exhibited better electrochemical and photoelectrochemical hydrogen evolution reaction (HER) activities than similar Co-P films prepared by the traditional post-phosphorization method. Moreover, the deposition of ultrathin Co-P films on periodic trenches was demonstrated, which highlights the broad and promising potential application of this ALD process for a conformal coating of TMP films on complex three-dimensional (3D) architectures.
AA-TuP-30 SnS2 Thin Film with in-Situ and Controllable Sb Doping via Atomic Layer Deposition for Optoelectronic Applications
Dongho Shin, Jun Yang, Amin Bahrami, Sebastian Lehmann, Kornelius Nielsch (IFW Dresden)

SnS2 thin film with in-situ and controllable Sb doping via atomic layer deposition for optoelectronic application

Abstract:SnS2 stands out as a highly promising two-dimensional material with significant potential for applications in the field of electronics. Numerous attempts have been undertaken to modulate the physical properties of SnS2 by doping with various metal ions. Here, we deposited a series of Sb doped SnS2 via atomic layer deposition (ALD) super-cycle process, and compared its crystallinity, composition, and optical properties to those of pristine SnS2. We found that the increase in the concentration of Sb is accompanied by a gradual reduction in the Sn and S binding energies. The work function is increased upon Sb doping from 3.98 eV (SnS2) to 4.79 eV (Sb doped SnS2 with 9:1 ratio). When integrated into photodetectors, the doped SnS2 layers showed improved performance, demonstrating increased peak photoresponsivity values from 19.5 A/W to 27.8 A/W at 405 nm, accompanied by an improvement in response speed. These results offer valuable insights into next generation optoelectronic applications based on SnS2.

AA-TuP-31 Effects of Alkali-Metal Doping on Current Amplification of Titanium Oxide Thin Film Transistors Prepared by Atomic Layer Deposition
Ryo Miyazawa, Haruto Suzuki, Hibiki Takeda, Masanori Miura, Bashir Ahmmad Arima, Fumihiko Hirose (Graduate School of Science and Engineering, Yamagata University)
Thin-film transistors (TFTs) have been used as pixel-control switching devices, chemical, gas, and ion sensors. Previously, we demonstrated nanometer-thick titanium oxide TFTs (TiO2-TFTs) as gas and UV sensors where the drain current was drastically changed by contacts with oxygen, dry air, nitrogen, and UV light [1]. In this study, we fabricated extremely surface-sensitive TFTs using titanium-oxide channels with a thickness of 16 nm as alkali metal detect sensors. The fabricated TFTs showed high current amplification in the order of mA due to sodium adsorption. We used aluminum-silicate multiple films as the sodium adsorption layer. It was reported that aluminum-silicate multiple films exhibited adsorption abilities of sodium, potassium, and cesium [2]. Thus, the nano-channel TFTs with the aluminum-silicate was expected to have strong electric conduction modulation by the adsorption of these. In this paper, the TFT was fabricated and its operation mechanism was discussed by electrical measurements and device simulation.

TiO2 was deposited on a Si/thermally oxide SiO2 substrate using atomic layer deposition.The TiO₂ thickness was at 16 nm. The plasma excited humidified argon was used as the oxidizing agent in the ALD. Subsequently, a heat treatment at 500 °C for 30 min in an atmospheric atmosphere was carried out for crystallization. 100 nm thick Ti electrodes were formed by electron-beam evaporation using a metal mask. For the gate electrode, the oxide film was area-selectively removed and In was fabricated. Finally, 10 nm of aluminum-silicate was deposited as an alkali-metal adsorption layer using room temperature atomic layer deposition. In this study, a combination of monolayer of aluminum-silicate and 15 layers of SiO₂ were deposited repeatedly for 10 cycles. Fig. 1 shows the structural diagram of the TFT.

Fig. 2 shows the relation between drain current and alkali-metal chloride concentration. The adsorption was performed by immersion of the sample in NaCl, KCl and CsCl solutions for 30 minutes each, rinsing with deionized water and drying for 3 hours under atmospheric conditions. The drain current decreases at 100 mM and 1 M for NaCl and KCl. With regards to enhanced drain currents in TiO2-TFTs, it is considered that the alkali-metal suppressed defect levels in the TiO2 band gap and thus improves the conductivity of TiO2. T. Singh et al. reported that in mesoporous TiO2, alkali-metal doping suppressed oxygen vacancies in TiO2 and enhanced electrical conduction [3]. We believe that the TiO2-TFT fabricated in this study can be used as alkali-metal sensors and high-mobility switching devices.

View Supplemental Document (pdf)
AA-TuP-33 Development of Antibacterial Neurostimulation Electrodes via Hierarchical Surface Restructuring and Atomic Layer Deposition
Shahram Amini (Pulse Technologies Inc.); Henna Khosla (Villanova University); Wesley Seche (Pulse Technologies Inc.); Daniel Ammerman (Rowan University); Matthew Maniscalco, Alexander Blagojevic, Hongbin Choi, Pouya Tavousi (University of Connecticut); Sahar Elyahoodayan (University of Southern California); Gregory A. Caputo, Jeffrey Hettinger (Rowan University); Sina Shahbazmohamadi (University of Connecticut); Gang Feng (Villanova University)

Surgical site and post-implantation infections are amongst the most devastating complications after surgical procedures and implantations. Additionally, with the increased use of antibiotics, the threat of antibiotic resistance is significant and is increasingly being recognized as a global problem. Therefore, the need for alternative strategies to eliminate post-implantation infections and reduce antibiotic use has led to the development of medical devices with antibacterial properties. In this work, we introduce a two-step process using femtosecond laser hierarchical surface restructuring and atomic layer deposition for deposition of ultra-thin and ultra-conformal metal oxide thin films for development of electrochemically active antibacterial platinum-iridium electrodes targeted for use in neurostimulation and sensing/recording applications. It will be demonstrated that due to the unprecedented increase in the surface area of the electrodes post-restructuring, the ALD-deposited antibacterial metal oxide thin films on hierarchically restructured electrodes are capable of releasing sufficient antibacterial metal ions to kill Escherichia coli and Staphylococcus aureus, two common types of bacteria responsible for implantation infections.

AA-TuP-34 Construction of PDMS/Al2O3 Hybrid Encapsulation for Wearable Electronics via Atomic Layer Infiltration and UV Curing
Fan Yang, Di Wen, Ruige Yuan, Rong Chen (State Key Laboratory of Intelligent Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology)

Wearable electronics can adhere to the skin or be implanted, offering great promise in healthcare and medical diagnostics. However, those devices are often sensitive to water/vapor, or various ions, which limits the device stability and long term use. Thanks to the combatiblty and flexibility, various polymers substrate has been applied as wearable electronics encapsulation. Due to the large amounts of free void space, polymer materials exhibit a water vapor transmission rate (WVTR) ranging from 1-100 g/m2/day, whereas practical wearable electronics demand a much lower WVTR below 10-3 g/m2/ day, to ensure stability. More importantly, the challenge in developing low permeability, soft and flexible polymer barrier films is the contradiction between highly dynamic chains structures and compact molecular architectures. Previously, we have used atomic layer infiltration of PEN and PDMS substrate, where 3-4 ordes of magitude increas in the water vapor proof were obtained even under bending and streching conditions. In this work, we developed a two-step processing combing ALI and futher UV-curing process to fabricate organic-inorganic hybrid film of excellent barrier properties. A clear “filling-crosslinking” mechanism was elucidated, where ALI infiltrate Al2O3 to fills the voids of polymers and UV facilitates cross-linking between the organic and inorganic substance. The optimal hybrid film demonstrates superior performance, maintaining high barrier properties (2.07*10-4 g/m2/day) under tensile strain and bending according to the Ca devices test. Exposing test to aggressive solutions containing PBS, KOH, and glucose respectively were further studied with a carbon-based strain sensor encapsulated with the fabricated hybrid film, showing the ultra-high stability against the biofluids-like environment. This proposed strategy shows great potential to provide a highly reliable encapsulation for stretchable devices.

AA-TuP-35 Stabilization of Ni-YSZ Fuel Electrodes using an ALD-Grown Aluminum Titanate Interlayer
Katherine Hansen (Radiation Monitoring Devices); Zhuoming Feng (University of Pennsylvania); Harish Bhandari (Radiation Monitoring Devices); John Vohs (University of Pennsylvania); Raymond Hayden (Radiation Monitoring Devices)

The degradation of the fuel electrode in solid oxide electrolysis cells (SOECs) currently limits their large-scale commercial adoption. This degradation is primarily caused by the agglomeration of nickel in the fuel electrode, resulting in irreversible loss of electrochemical performance. To address this challenge, Atomic Layer Deposition (ALD) was utilized to grow an aluminum titanate (ALT, Al2TiO5) film as a chemical anchor. This anchor prevents the migration of nickel in the yttria-stabilized zirconia (YSZ) electrode network. During the standard temperature range for manufacturing SOECs, ALT breaks down into aluminum oxide (Al2O3) and titanium oxide (TiO2), which then bond with the nickel particles and YSZ framework respectively to form the anchor. This process prevents nickel from agglomerating and maintains the number of active sites from the initial nickel loading, thus extending the lifespan of SOECs.

In experiments with YSZ button cells, it was demonstrated that an ultrathin ALT interlayer, measuring < 5 nm in thickness, infiltrated into the porous YSZ electrode, significantly improved the thermal stability of the nickel in these cells. This improvement was evident in the cell’s electrochemical performance, shown through current-voltage (IV) polarization curves and Electrochemical Impedance Spectroscopy (EIS), and in reduced migration and agglomeration of nickel, as seen in SEM cross-section images. The study’s findings demonstrate that a 2 nm-thick ALT interlayer deposited by ALD does not adversely affect the cell’s ohmic resistance and effectively prevents nickel sintering and the loss of active area during high-temperature accelerated stress testing. However, thicker ALT layers, while improving nickel stability, impede oxygen ion transport in the electrode and significantly increase the cell’s ohmic resistance of the cell, leading to a decline in performance.The ALD ALT chemical anchor for the fuel electrode in SOECs enhances the long-term stability of SOECs, providing an efficient method of storing excess energy from various low-cost and renewable electricity sources as hydrogen fuel, as well as the reverse in fuel cell mode to produce energy from chemical fuels.

AA-TuP-36 ALD-NiOx Thin Film Growth using Ni(dmb)2 Precursor for FaPbI3-based Perovskite Solar Cells
HYO SIK CHANG (Chungnam National University)

Charge transporting materials in perovskite solar cells (PSCs) have played an important role in improving the efficiency. Solution-based spin coatings cause the perovskite to dissolve and degrade the device’s stability. Recently, inorganic hole transporting materials (HTMs). such as CuOx, MoOx, and NiOx are used to overcome the disadvantages of organic materials in PSC, such as long-term operational instability, low charge mobility, and incompatible processes. Specifically, NiOx in PSCs exhibits many advantages such as valence band matching with most perovskite absorbers, excellent electron blocking, high transparency, and thermal stability.

In this study, NiO for HTM were grown by atomic layer deposition (ALD) using Ni(dmamb)2 precursor and H2O, O3 oxidants. Physical, electrical, and chemical characteristics such as Ni/O atomic ratio, and NiO conductivity in NiO thin films are being studied by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), UV-Vis., etc. In addition, we deposited FAPbI3films using a co-evaporation method, and optimized the film thickness and heat treatment. FAPbI3 perovskite solar cell using vacuum deposition process shows 15~19 % conversion efficiency. The FaPbI3 perovskite properties following ALD-NiOx thin film growth will be discussed.
AA-TuP-37 Atomic Layer Deposition of Ultra Low-K Amorphous Boron Nitride for Futuristic Inter Metal Dielectric
Inkyu Sohn, Jihoon Park, Sangyoon Lee, Jeongwoo Seo, Jisang Yoo, Seung-min Chung, Hyungjun Kim (Yonsei University, Korea)
Scaling down of electronic components encounters a notable obstacle due to the reducing processing speed resulting from increasing RC delays associated with higher resistance and capacitance. Diminishing the dimensions of interconnects, which are metal wires responsible for linking various electronic components on a chip, is essential for achieving device miniaturization and non-conducting (dielectric) layers isolate these interconnects from each other. Until now, the majority of research has concentrated on reducing the resistance of scaled interconnects. This is because integrating dielectrics through low-temperature deposition processes, which are compatible with complementary metal–oxide–semiconductors, presents significant technical challenges. Materials used for interconnect isolation must possess low relative dielectric constants (κ values), act as effective diffusion barriers to prevent the migration of metal into semiconductors, and exhibit thermal, chemical, and mechanical stability. In particular, the International Roadmap for Devices and Systems (IRDS) advocates the advancement of dielectrics with relative dielectric constants (κ values) below 2 by the year 2028. Current low-κ materials, including silicon oxide derivatives, organic compounds, and aerogels, exhibit κ values surpassing 2 and have inadequate thermo-mechanical properties. Recently, Shin et al., have demonstrated ultralow-κ properties of amorphous boron nitride (a-BN) which is deposited by plasma-enhanced chemical vapour deposition (PE-CVD). The synthesized a-BN shows ultralow κ value of 1.15 at operating frequency of 1 Mhz. However, with the paradigm shift of memory from conventional planar structures to 3D architectures such as 3D DRAM or monolithic 3D integrated device, there is a need for atomic layer deposition (ALD) processes that can conformally deposit materials onto the intricate 3D structures. Here we report 4 nm thick a-BN films deposited by plasma enhanced atomic layer deposition (PE-ALD) with ultralow κ values of 1.43 (close to that of air, κ = 1) at operation frequencies of 1 MHz. The growth per cycle (GPC) is confirmed to be ~0.12 Å/cycle at 350 ℃ and the thickness of synthesized film linearly increased with the number of ALD cycles. The RMS roughness is only 1.23 nm even at 30 nm thick of a-BN which indicates the formation of smooth surface of our ALD process. Also, XPS shows the stoichiometric a-BN and TEM, XRD, Raman confirms the amorphous nature of BN. Our results demonstrate that ALD a-BN process holds the potential for application in the realization of next-generation 3D integrated devices. View Supplemental Document (pdf)
AA-TuP-38 Approach to Quantitatively Imaging the Equivalent Oxide Thickness of a High-K Oxide Film on Silicon: A Dc-Free Scanning Capacitance Microscopic Method
Mao-Nan CHANG (Department of Physics, NCHU); Chun-Chieh WANG (Institute of Nanoscience, NCHU)
Scanning capacitance microscopy (SCM) is a well-known and reliable technique for surface electrical analysis, providing electrical images of a nanometric area. For many years, SCM has been an important method to observe and to analyze the carrier distributions and p-n junctions in semiconductor materials and devices. Recently, the signal intensity model for SCM measurements was well-established and used to develop novel applications, such as evaluating equivalent physical thickness and dielectric constant of a thin dielectric piece. The signal intensity model also provides a good opportunity to explore the subtle relation between the SCM signal and the electrical thickness for an oxide film on silicon. In this work, a novel and promising method using dc-free SCM to quantitatively evaluate and image the equivalent oxide thickness (EOT) of a high-k oxide/SiO2 stacked film on silicon was developed. The sample structure used in this work was a high-k oxide/SiO2 stacked film on a p-type silicon substrate with an Ohmic back-contact electrode formed by aluminum deposition and thermal annealing. After atomic layer deposition for growing the high-k oxide, thermal annealing at different temperatures was performed in an ambient N2. A scanning probe microscope system (Bruker Dimension ICON) equipped with an SCM module was used to acquire the differential capacitance signals of the samples. A conductive tip was used to perform dynamic dc stress at sample biases of 3 V, 4 V, and 5 V. Upon the dc stress, a dc-free SCM method was employed to observe the time-dependent variation of equivalent oxide thickness induced by charge-retention loss. Using reliable references, e.g., SiO2 on Si, a control line showing the linear relation between SCM signal ratio and EOT ratio was obtained in order to convert the differential capacitance signals into EOT values. In other words, one can convert the SCM image into EOT image through image operation. The time-dependent EOT variation indicated that the spontaneous charge de-trapping after dc stress is very limited for high-k oxide/SiO2 stacked films. In comparison with a thermally-grown SiO2 film on Si, charge retention in the high-k oxide/SiO2 stacked film was obvious and kept for a long time after dc stress. Similar phenomena were observed under different dc stress conditions. It is worth to notice that the dc-free SCM method also avoids the impact induced by dc voltages for evaluating the EOT of the high-k oxide/SiO2 stacked film.
AA-TuP-39 Investigation of Thermal Atomic Layer Deposition for Vertical-Channel IGZO FET with Good Performance and Thermal Stability
Jinjuan Xiang (Beijing Superstring Academy of Memory Technology); Xinlv Duan (Institute of Microelectronics of the Chinese Academy of Sciences); Xueli Ma, Peng Yuan, Yuting Chen, Liguo Chai, Zhengying Jiao, Yongqing Shen, Guilei Wang (Beijing Superstring Academy of Memory Technology); Di Geng (Institute of Microelectronics of the Chinese Academy of Sciences); Chao Zhao (Beijing Superstring Academy of Memory Technology)

Emerging computing applications such as ChatGPT and AR/VR are demanding memory chip for larger capacity and lower power consumption. IGZO-based DRAM has attracted considerable attention recently due to the extremely low off-current and BEOL compatibility of IGZO FETs. And vertical channel transistors are a promising approach to realizing 3D DRAM. In this work, we utilized thermal ALD process to deposit IGZO channel for vertical channel FET. By utilizing a fully thermal ALD process during IGZO-channel/GI deposition and applying appropriate gate film stack, the fabricated vertical channel FET exhibits good device performance and thermal stability, which is an excellent result among ALD-IGZO FETs.

View Supplemental Document (pdf)
AA-TuP-40 Improved Stability of Pt Catalyst for Sustainable Hydrogen Generation
Mingliang Chen, Peter Piechulla (TU Delft); Mena-Alexander Kräenbring, Fatih Özcan, Doris Segets (University of Duisburg-Essen, Germany); J. Ruud van Ommen (TU Delft)

Green hydrogen is gaining increasing attention as a means of transport and energy storage worldwide. The proton exchange membrane water electrolyzer (PEMWE) is one of the promising methods for producing green hydrogen. In PEMWE, platinum group noble metals are commonly utilized to achieve high-efficiency hydrogen production. For example, Pt-loaded carbon black (Pt/C) is typically used as a catalyst at the cathode side, as no other catalysts can currently outperform Pt in hydrogen evolution reaction (HER) [1]. However, as a noble metal, the cost and scarcity of Pt soon become a bottleneck when scaling up hydrogen production to relevant outputs to partially replace, e.g. fossil fuels.

One potential solution for the economical use of Pt is to minimize its loading in PEMWE while maintaining the performance of the cell. To maximize the utilization efficiency of Pt, better control of the morphology and dispersion of the catalyst should be ensured. Therefore, Pt/C has been synthesized with atomic layer deposition (ALD) to achieve lower loading and enhanced catalytic performance with smaller and more uniform particle sizes as compared to those produced by conventional incipient wet impregnation. However, low Pt loading entails new challenges regarding the long-term stability of the catalyst [2]. In this work, the loading and particle size of Pt are first controlled by ALD. Afterwards, a thin layer of protective material (for example, SiO2) is coated on the outer surface of Pt or the carbon substrate to enhance its stability. Finally, accelerating stability testing of those catalysts was performed in a laboratory PEMWE setup. We demonstrate that by carefully selecting the thickness of the layer and the materials used for the protective coating can be ensured that the intrinsic activity of Pt is not compromised, while strongly increasing the stability.

[1] Hansen, Johannes Novak, et al. "Is there anything better than Pt for HER?." ACS Energy Letters 6.4 (2021): 1175-1180.

[2] Yu, Haoran, et al. "Microscopic insights on the degradation of a PEM water electrolyzer with ultra-low catalyst loading." Applied Catalysis B: Environmental 260 (2020): 118194.

AA-TuP-41 Atomic Layer Deposition of Palladium Nanoparticles for Catalytic Applications
Bilal Bawab, Raul Zazpe, Jhonatan Rodriguez-Pereira, Jan M. Macak (University of Pardubice)

Platinum group metals such as Pt, Ru, Pd, Ir, etc., have superior performance for various catalytic applications [1]. Due to their scarcity, efforts were being made to reduce or replace these noble metals. Atomic Layer Deposition (ALD) is one among the best technique to facilitate lowering of loading mass on a support of interest [2,3]. Furthermore, ALD is the most suitable technology that can decorate high aspect ratio and high surface area substrate architectures [4]. Due to the governing surface energy variations between noble metals and support surfaces, the growth initiates as nanoparticles (NP) and with a further increase in ALD cycles the agglomeration among NP’s dominates over the individual NP size increase, thus developing thin films of relatively higher thickness. The surface energy variations are also known to increase the nucleation delay of noble metals including Pd. In this regard our efforts were laid to improve the functionality with pretreatments on carbonaceous supports which were shown promising to reduce the nucleation delay of ALD deposited Pd.

For electrocatalytic applications, it is important to choose the right substrates. Among the available ones, carbon papers (CP) and titania nanotube (TNT) layers are best choices considering their physio-chemical properties, availability, vast literature, and low costs incurred using these as support substrates in electrocatalysis and photocatalysis. Several surface modifications for CP’s and variations on morphological aspects of TNT layers had attracted a great attention form applied fields due to their improved surface area, conductivity, and stability [5-8]. Uniformly decorating these CP’s and TNT layers by NPs of catalysts proved to be highly efficient with no boundaries on applications, as shown in our recent papers [9-10].

The presentation will introduce and describe the synthesis of Palladium NPs by ALD on CP substrates [9] and TNT layers with high aspect ratios [10]. It will also includethe corresponding physical and electrochemical characterization and encouraging results obtained in electrocatalysis.

View Supplemental Document (pdf)
AA-TuP-43 Optimizing Izo Tft Performance Through Al Doping Cycle Control via Atmospheric Pressure Spatial Atomic Layer Deposition
Kwang Su Yoo, Dae-Jung Kim, Chi-Hoon Lee, Dong-Gyu Kim, Chang-Kyun Park, Jin-Seong Park (Hanyang University, Korea)

Oxide semiconductors exhibit excellent electrical properties and thickness uniformity in the amorphous state, and notably low leakage currents, making them desirable materials. Among the notable materials in this category is indium gallium zinc oxide (IGZO), introduced by Hosono Group. In IGZO, indium aids carrier generation, gallium suppresses carriers, and zinc helps in network connectivity between materials. Deposition methods for obtaining such oxide semiconductors include sputtering and atomic layer deposition (ALD). While sputtering offers high productivity, it lacks precise control over ion composition and often requires complex equipment setups with multiple targets. Additionally, it faces challenges in achieving uniformity in large-area deposition and coating thickness. On the other hand, ALD enables precise control over ion composition and ensures excellent thickness uniformity even in complex, high aspect ratio structures. However, ALD still suffers from low productivity due to its inherent purge time. Spatial ALD (S-ALD) has emerged as a promising approach that retains the advantages of conventional ALD while improving productivity and enabling applications in flexible displays [1,2].

In this study, we employed Atmospheric Pressure Spatial ALD (AP S-ALD) to investigate the effects of substituting gallium ions with cost-effective aluminum ions in IGZO, aiming to enhance productivity in the display industry and secure competitiveness in the flexible electronics market. as shown in Fig 1, By utilizing AP S-ALD, we established a window for ALD deposition of In2O3, ZnO, and Al2O3 films with higher productivity compared to conventional ALD methods. Focusing on IGZO TFTs, we divided the deposition process into different cycles of aluminum incorporation (0, 1, 2, 3 cycles) and examined the electrical characteristics of the films, as shown in Fig 2. We observed a decrease in carrier concentration with increasing aluminum cycles, leading to a positive shift in threshold voltage (VTH) and a decrease in mobility, indicating a direct correlation with carrier variation, as shown in Fig 3. Optimal reliability was achieved in the region where oxygen vacancies were minimized with increasing aluminum cycles, demonstrated by successful bending tests under conditions mimicking a 1 mm radius for 50,000 cycles in Fig 4. Through this study, we validate a method to enhance productivity in flexible display manufacturing by optimizing deposition techniques and incorporating cost-effective ion substitutions.

View Supplemental Document (pdf)
AA-TuP-44 Effect of One ZrO2/HfO2 Thickness of ZrO2/HfO2 (Zr/Hf=1/1) Laminate on Characteristics for Non-volatile DRAM
Tomomi Sawada, Toshihide Nabatame (National Institute for Materials Science); Takashi Onaya (The University of Tokyo); Hiromi Miura, Manami Miyamoto, Kazuhito Tsukagoshi (National Institute for Materials Science)

Ferroelectric Zr-doped HfO2 (HZO) film has been widely investigated as capacitor insulator for future non-volatile DRAM [1,2]. HZO film was generally fabricated from ZrO2/HfO2 laminate film, and the Zr/Hf ratio of the ZrO2/HfO2 film was controlled by changing the numbers of ZrO2 and HfO2 cycle during ALD. However, the influence of thickness of one ZrO2/HfO2 layer in the ZrO2/HfO2 laminate with the same Zr/Hf ratio on characteristics are not currently understood. In this study, we investigated characteristics of TiN/HZO (ZrO2/HfO2 =1/1)/TiN capacitors with various thicknesses of one ZrO2/HfO2 layer.

TiN/HZO/TiN capacitor was fabricated as follows: A 10-nm thick ZrO2/HfO2 laminate film was deposited on p+Si/TiN substrates via ALD at 300°C using tris(dimethylamino) cyclopentadienyl zirconium and hafnium precursors and H2O gases. The thickness of one ZrO2/HfO2 layer was varied to 0.098, 0.20, 0.29, and 0.39nm by changing ALD cycles of 1/1, 2/2, 3/3, and 4/4. TiN top electrode was deposited on the ZrO2/HfO2 film. Finally, post-metallization annealing (PMA) was carried out at 300, 400, and 500°C in N2.

All as-grown ZrO2/HfO2 films had an amorphous structure. The ZrO2/HfO2 films started crystallizing at 400°C when the thickness of one ZrO2/HfO2 layer became ≥0.20nm. The crystal structure was mixture of cubic/tetragonal/orthorhombic (C/T/O) and monoclinic phases. On the other hand, we found that the ZrO2/HfO2 film with one ZrO2/HfO2 layer (0.098nm) remained an amorphous structure at 400°C and started crystallizing at 500°C.

C-V measurements of capacitor was performed at a sweep voltage of ±0.5V and a 10kHz. The dielectric constant (k) value was estimated from capacitance at 0V before polarization. The k values of all as-grown and PMA300°C capacitors exhibited about 20 regardless of the thickness of one ZrO2/HfO2 layer. The PMA400°C capacitors with one ZrO2/HfO2 layer (≥0.20nm) exhibited larger k values of about 27 compared to that (k=20) of the one ZrO2/HfO2 layer (0.098nm). Furthermore, the k values of the one ZrO2/HfO2 layer (≥0.20nm) increased up to 32-35 at PMA500°C. The ferroelectricity was observed at PMA above 400 and 500°C for one ZrO2/HfO2 layer (≥0.20nm) and (0.098nm), respectively, according to C/T/O structure as explained. All PMA500°C capacitors exhibited superior breakdown electric field of above 3.0MVcm-1 at J=10-2Acm-2.

We concluded that low temperature crystallization and large k value can be obtained when the thickness of one ZrO2/HfO2 layer become ³0.20nm in the ZrO2/HfO2 laminate film.

[1] N. Pamaswamy et al., 15-7, IEDM2023. [2] T. Onaya et al., APL Mater. 7, 061107(2019).

View Supplemental Document (pdf)
AA-TuP-45 Plasma-enhanced Atomic Layer Deposition of Niobium Carbide using a New Nb Precursor and H2 Plasma and its Application to Diffusion Barrier for Cu and Ru Interconnects
Chaehyun Park, Minjeong Kweon, Sang Bok Kim, Soo-Hyun Kim (Ulsan National Institute of Science and Technology (UNIST))

Nb-based compounds including NbCx, and NbNx show a unique combination of properties, such as high melting temperature, good chemical stability, excellent electrical properties, and low resistivity [1] [2]. Due to these outstanding characteristics, Nb-based compounds have been researched for various applications, including hard surface coatings, superconducting devices, gate electrodes and copper interconnect diffusion barrier in semiconductor devices [1]. Thin films of Nb-based materials have been deposited by a variety of techniques, such as physical vapor deposition (PVD), and chemical vapor deposition (CVD). But this method is not expected to be adequate for use in future microelectronic devices which has narrow features with high aspect ratio. Therefore, achieving precise thickness deposition in complex and narrow 3D structures has become increasingly challenging. In this respect, atomic layer deposition (ALD) emerges as the optimal method for fabricating Nb-containing thin films with potential applicability in future technologies. In this study, ALD processes using the new liquid cyclopentadienyl-based Nb precursor, and various reactants such as H2, NH3 molecules, and its plasma were reported. Preliminary results indicate that, Figure 1, among these reactants ALD-NbCx and NbNx can be controllably deposited using H2 plasma and NH3 plasma as a reactant. As a result, we were able to create carbides (ca. 6 % nitrogen) and nitrides (ca. 3 % carbon) through ALD processes. Further experiments were done mainly using H2 plasma to deposit NbCx due to its better properties than NbNx. The NbCx thin films were grown at the temperature of 350 °C by shower head type PE-ALD reactor (IOV dX1 PEALD, ISAC RESEARCH, Korea). The self-limiting growth behavior was shown with both precursor pulsing and reactant pulsing and the saturated growth rate was approximately 0.19 Å/cycle. Film composition varied with deposition conditions and was characterized by 4-point probe (resistivity), SEM (thickness), TEM (step coverage and thickness), XRR (density and thickness), XRD (crystallinity), XPS (composition) and RBS (impurity) etc. We applied the ALD-NbCx films to a diffusion barrier for Cu and Ru interconnects as well as gate electrodes and the results will be presented at the conference.

*Corresponding Author: soohyunsq@unist.ac.kr

[1] Song Zhang, Jinrong Hu, Tianyu Zhu, Jian Peng, Rong Tu, Chuanbin Wang, Lianmeng Zhang, Applied Surface Science, Volume 613, 2023, 156086, ISSN 0169-4332

[2] Williams, W.S. Transition metal carbides, nitrides, and borides for electronic applications. JOM 49, 38–42 (1997)

View Supplemental Document (pdf)
AA-TuP-46 Ultrahigh Plasma Resistance of Y2O3 Thin Films Prepared by Atomic Layer Deposition for Uniform 3D Coatings
Jun-Hyeok Jeon, Hyun-Mi Kim, Sung Kyu Jang, Hye-Young Kim (Korea Electronics Technology Institute (KETI)); Chang-sub Park, Yong Soo Lee (KoMiCo Ltd.); Geun Young Yeom, Jae-Boong Choi (Sungkyunkwan University (SKKU)); Sun Gil Kim, Seul-Gi Kim, Hyeongkeun Kim (Korea Electronics Technology Institute (KETI))

As memory and logic devices are dramatically scaled down, the importance of etch processes for semiconductor manufacturing has been highlightened. To achieve the etch uniformity and selectivity of sub-nanometers patterns with high aspect ratios, the plasma density in etch chambers increases and the composition of plasma gas is becoming more diverse. As a result of exposure to these plasma etching conditions, the inner parts which compose the etch chamber are attacked, resulting in fatal particle contamination on wafers. Therefore, it is necessary to research etch chamber coating materials which have remarkable plasma resistance to attain high production yield by minimizing particle sources. Among ceramic coating materials, yttrium oxide (Y2O3) has been highlightened as a material replacing conventional Al2O3 coatings since it exhibits outstanding plasma resistance. Also, it is important to fabricate highly dense films with standout step coverage to apply for the delicate 3D parts coatings. Atomic layer deposition (ALD) emerges as a promising technology for the chamber parts coatings due to its self-limiting deposition characteristics, enabling uniform and high density film deposition on complex 3D structures. This study introduces ALD method of Y2O3 films, employing a novel yttrium precursor (ARYATM supplied by AirLiquide) and three reactants (H2O, O3, and O2 plasma). It is confirmed that Y2O3 films were successfully deposited without impurities using the ALD process through X-ray photoelectron spectroscopy(XPS) analysis. Furthermore, the crystalline structure and characteristics of the Y2O3 films were investigated by X-ray diffraction(XRD) and high-resolution transmission electron microscope(TEM) analysis depending on the reactants. Also, plasma resistance of the ALD Y2O3 films was evaluated using diverse mixtures of CF4, O2, and Ar gases, exhibiting superior resistance compared to sputter-deposited Y2O3 films. Additionally, we introduced the atomic layer annealing (ALA) process to increase grain size, achieving higher plasma etch reseistance. This study demonstrates that Y2O3 thin film coatings with ALD provides high plasma resistance in diverse etch conditions, preventing severe particle contamination during semiconductor manufacturing process.

AA-TuP-47 Atomic Layer Deposition of Copper Metal: Promising Cathode in Thin-Film Lithium-Ion Batteries
Niloofar Soltani, Amin Bahrami, Martin Hantusch, Evgenia Dmitrieva, Kornelius Nielsch, Daria Mikhailova (Leibniz-Institut für Festkörper- und Werkstoffforschung Dresden)

Since conversion and intercalation reactions during battery charging and discharging may cause substantial volume changes and irreversible structural transformations that severely affect cathode performance, copper metal has been shown to be a reliable substitute for conventional Li-ion hosting cathodes. However, similar to the shuttle effect in Li-S batteries, the main obstacle coupling Li and Cu is the deposition of reduced Cu2+ on the surface of Li during charging. In this study, the diffusion of Cu ions towards the Li foil was suppressed by employing a carbon-coated separator. Moreover, the high-rate capacity of 596 mAh gCu-1 at a current density of 837 mA gCu-1 was achieved by employing 100 nm thick Cu-film fabricated by atomic layer deposition (ALD), owing to its high surface area, which facilitated rapid redox reactions. With metallic Sb anode, a Cu-Sb full cell exhibits a reversible discharge capacity of 117 mAh gCu-1 (12.3 µAh cm-2) at a relativity high current density of 837 mA gCu-1. Constructing Cu thin films via ALD might open up new opportunities for replacing traditional Li ion-hosting cathodes in Li-ion microbatteries.

AA-TuP-49 Phosphite Doping of ALD SiO2 Membranes Significantly Enhances Proton Conductivity for PEM Water Electrolysis
Matthew Weimer, Sara Harris (Forge Nano); Jingjing Jin, Zhexi Lin, Kyungmin Yim, Lucas Cohen, Daniel Esposito (Columbia University); Arrelaine Dameron (Forge Nano)

Water electrolysis powered by renewable sources can generate carbon-free, energy-dense hydrogen (H2), to enable industrial decarbonization. Large-scale deployment of conventional alkaline and proton exchange membrane (PEM) electrolyzers is hindered by a higher levelized cost of H2 by water electrolysis versus H2 production by carbon-intensive steam methane reforming. To decrease this cost, overall cell efficiencies can be improved by reducing the ohmic overpotential required to drive proton (H+) transport across the membrane, which is especially sensitive to membrane thickness.1 Significant work has been done to reduce the thickness of Nafion membranes down to 50-200 um while keeping the safety-critical H2 crossover below acceptable limits, but a fundamental limit to this path is apparent.2 We have been exploring dense ALD SiO2 as a proton conducting oxide membrane (POM) thinner than 1 um as a replacement. In this thickness regime, lower H+ conductivities than Nafion are acceptable so long as the membrane material has lower H2 permeabilities limiting H2 crossover rates below maximum acceptable levels.3 Baseline ALD SiO2 POM had poor H+ conductivity and superior H2 permeability relative to Nafion-211 (24.5 um). To improve H+ conductivity, novel P precursors were explored, as motivated by work on phosphorus-doped silica glass membranes.4 Phosphate (PO4-3) species were successfully doped into the film across a range of temperatures, 100-250°C, using ABC-type ALD as measured by x-ray photoemission spectroscopy (XPS) and4 substantial PO4-3 incorporation required extended exposures below 250°C. Increasing PO4-3 content was tracked by observing a red shift in the Si-O peak at 1100 cm-1 with Fourier transform infrared spectroscopy (FTIR), correlating XPS data. Figure 1 shows the inverse relationship of temperature with H+ Conductivity for SiO2 films with and without PO4-3 doping. The best-performing membrane is PO4-3 doped SiO2 film deposited at 100°C with H+ conductivity of 2.2x10-3 S/cm, as measured by electrochemical impedance spectroscopy. This H+ conductivity is almost an order of magnitude higher than previously reported ceramic membrane measured under the same conditions5 and approaches the range of thin Nafion, 1.2x10-1 to 8.0x10-2 S/cm.6 Importantly, H2 permeabilities of the SiO2 membranes remained ≈ 10-10 cm2/s after PO4-3 incorporation, while electronic leakage current remained low. Together, these results point towards the viability of PO4-3-doped SiO2 ALD films as a promising material to realize sub-micron thick high H+ conducting ceramic membranes for efficient and safe water electrolysis to enable a competitive green H2 market.

View Supplemental Document (pdf)
AA-TuP-50 Deposition of Pt Nanodot on Carbon Powder Using Noble Pt Precursor and Stabilization of Nanodots
Takashi Teramoto, Christian Dussarrat (K.K. Air Liquide Laboratories); Nicolas Blasco (Air Liquide Advanced Materials)

Proton exchange membrane fuel cell (PEMFC) is a promising technology contributing to decarbonize industrial and societal activities by transforming chemical energy, typically hydrogen, into useful electric power in an efficient and clean way. Carbon-supported Pt catalyst is widely used for PEMFC applications due to its high electrochemical activities compared to other elements. However, the high cost of Pt catalyst is a significant hurdle to spread the commercialization of the large-scale utilization of PEMFC. Extending the lifetime of PEMFC is a conventional approach to improve the long term cost/performance of this technology. In this regard, several methods are attempted to improve durability of Pt catalyst on carbon support, for example, minimization of Pt nanodot agglomeration by precise size control of Pt nanodot, utilization of mesoporous carbon support, and applying metal oxide nanostructure formed by atomic layer deposition (ALD) around Pt nanodot.

This study proposes the low temperature formation (~150 oC) of carbon supported Pt catalyst via the use of a novel precursor, so called Plato, with H2 or O2 as coreactant in a pulsed CVD process. The process temperature using the Plato precursor is much lower compared to the ALD process using Pt(MeCp)Me3, typically around 250~300 oC. The deposition of Pt nanodots was performed using Fluidized bed reactor (FBR) to achieve uniform and high dispersion of Pt nanodots on carbon supports. Transmission electron microscope (TEM) analysis indicates high dispersion of Pt nanodots on carbon support. Also, membrane electrode assembly (MEA) was prepared using the Pt/C catalyst samples made by Plato precursor and conventional Pt(MeCp)Me3 precursor, and electrochemical performance using a test cell was evaluated. Also, area-selective ALD of metal oxide on a commercial Pt/C catalyst were attempted to enhance the stability of the catalyst.

View Supplemental Document (pdf)
AA-TuP-52 Hydrophilic Treatment of Porous PTFE Filter Membranes for Aggressive Chemical Environments
Rubab Zahra, Pertti Kauranen (LUT University); Matti Putkonen (University of Helsinki, Finland)

Polytetrafluoroethylene (PTFE) is a unique polymer with excellent thermal and chemical stability. However, PTFE is hydrophobic due to its low surface energy which restricts its use in applications where hydrophilicity is required. Achieving permanent hydrophilic modification of PTFE is a challenging task. Through atomic layer deposition (ALD) of inorganic oxides on PTFE substrates, it has been shown that the resulting material can survive extremely corrosive chemical environments while maintaining its hydrophilicity. In this study, we analyzed two samples of PTFE filter membranes with aspect ratios of 24 and 1000. PTFE surface was activated using ozone pretreatment and then ZrO2 was deposited at three different ALD temperatures of 100, 150, and 200oC by using 300 cycles of tetrakis(ethylmethylamino)zirconium(IV) (TEMAZr) + oxygen source in Beneq TFS-200. Either H2O or O3 was used as an oxygen source. The pulse times were increased for PTFE filter membranes with an aspect ratio of 1000, to analyze its influence on the coating penetration depth. The effect of the selected oxidizer, film thickness, and deposition temperature was evaluated on the hydrophilicity and stability of membranes in an aggressive chemical environment. Using contact angle measurements, SEM/EDS cross-section analysis, water filling ratio inside the pores of samples, and thermal and chemical stability of coated membranes it was observed that ALD of ZrO2 imparted permanent hydrophilicity and good coating penetration for PTFE sample with an aspect ratio of 24 whereas limitations in penetration depth for samples with a high aspect ratio of up to 1000 is discussed in detail. The findings of this study suggest that ALD can effectively enhance the hydrophilicity of PTFE without affecting its inherent chemical and thermal stability.

View Supplemental Document (pdf)
AA-TuP-54 Atomic Layer Deposition of Copper-Zinc Catalysts on Gas Diffusion Layers for the Electrochemical Reduction of CO2
Lovelle Manpatilan, Stefano Bianco, Elena Maria Tresso, Samuele Porro, Juqin Zeng (Politecnico di Torino)

Copper has been identified as an exceptional catalyst for the electrochemical reduction of CO2 into value-added fuels and chemicals. By adding secondary metals such as Zinc, the product selectivity and performance of copper catalysts can be enhanced. This study presents Atomic Layer Deposition (ALD) as a novel technique for depositing Copper-Zinc electrocatalysts on Gas Diffusion Layers. ALD is a suitable deposition technique on microporous substrates due to its ability to provide uniform deposit thickness, precise stoichiometry control, and high substrate conformance.

Copper acetate, copper acetylacetonate, and diethyl zinc will be used as the metallic precursors, with water as the reactant. The ALD cycle ratio between the copper and zinc precursors will be tuned to control the Cu-Zn composition, and the optimum growth conditions will be identified. For comparison, separate Cu and Zn catalysts will be synthesized using ALD. The structural, morphological, compositional, and electrochemical properties of the Cu-Zn catalysts will be evaluated against the Cu and Zn catalysts to determine the effect of Zn addition to Cu. Finally, flow cells and membrane electrode assembly will be used to assess the selectivity, performance, and stability of the catalysts for CO2 reduction.

This research is part of the European Union Horizon 2021 Marie Skłodowska-Curie Doctoral Networks (MSCA-DN) ECOMATES program for the “Electrochemical conversion of CO2 into added value products via highly selective bimetallic MATerial and innovative process dESign”. Furthermore, the research aims to contribute to the current understanding of ALD in electrochemical CO2 reduction and facilitate the design of other copper-based bimetallic electrocatalysts in the future.

Note: Submission for the poster session, not the oral presentation

AA-TuP-56 New ALD Materials for DRAM/3D-DRAM Scaling
Tejinder Singh (Eugenus, Inc.)

As the Semiconductor industry pushes the boundaries of power, performance, area and cost, Atomic Layer Deposition (ALD) solutions are required for the next generation of Logic, Memory & Packaging applications. In this presentation, we present new ALD materials for next-generation DRAM/3D-DRAM devices.

  • Application: DRAM Bitline Barrier Metal - We present our ternary Ti-Si-N ALD film that has proven to have superior barrier properties for high temperature thin film providing an alternative to Physical vapor deposition (PVD). PVD based TiN film is a widely used diffusion barrier layer (Figure 1). However, deposition of ultra-thin TiN exhibits pronounced islanding which leads to rough film with polycrystalline grain structure. Furthermore, inhomogeneities due to grain boundaries offer diffusion pathways and lead to device degradation. We present our findings on the diffusion barrier properties of amorphous ternary alloy films composed of Ti, Si and N (TiSiN), an excellent alternative to TiN films. These films were grown using Atomic Layer Deposition (ALD) technique on the Eugenus 300mm QXP commercial mini-batch reactor.
  • Application: DRAM Top & Bottom Capacitor Electrode: We start with ALD TiN/TiSiN film and demonstrate it’s step coverage with >98%. We then explore new materials for DRAM/3D-DRAM capacitor electrode such as VN/MoN deposited using ALD process in Eugenus 300mm single chamber multi-station reactor. ALD Molybdenum nitride (MoN) films were deposited via ALD using Mo solid precursor and NH3 at process temperature up to 550oC. The MoN film thicknesses were 4 to 12nm and characterized by various methodologies. Similarly, VN film was deposited using ALD process on non-pattern and pattern wafer with high aspect ratio (>90:1). Both MoN/VN show promising film properties such as higher Work Function and Lower Resistivity as compared to TiN and can be used for next-generation DRAM capacitor electrode.
  • Application: DRAM Capacitor High-k oxide: One of the challenges for DRAM capacitor high-k oxide is to achieve >100% step coverage. However, current ALD processes can achieve 90% step coverage. We have developed a liquid precursor delivery ALD process module that can deposit several high-k ALD films with very high dielectric constant and step coverage > 100%. High-k films (ZrO/HfO) deposited have low leakage current, excellent step coverage, excellent uniformity, and accurate composition control.

In summary, this paper presents new materials (TiSiN, TiN, VN, MoN, ZrO, HfO, MoO) deposited in Eugenus ALD reactors to meet next-generation DRAM/3D-DRAM scaling challenges.

View Supplemental Document (pdf)
AA-TuP-57 Low Temperature Plasma-Enhanced Atomic Layer Deposited Boron Nitride Thin Films for Low-κ Dielectric Applications
Dan N. Le, Soubhik De, Thi Thu Huong Chu, JinHyun Kim, Doo San Kim, MinJong Lee, Maxim Markevitch, Jiyoung Kim (University of Texas at Dallas)

To mitigate parasitic capacitance within the interconnect capping layer and/or inter-metal dielectric, the integration of low-κ dielectric materials is necessary for high-speed integrated circuit applications [1]. However, the inherent low density of such materials often compromises the material mechanical property during integration process [2]. Recently, boron nitride (BN) has been demonstrated as a potentialcandidate due to its high thermal conductivity and robust mechanical strength in comparison to existing low-κ dielectric materials, such as boron carbon nitride (BCN) [3], silicon oxycarbonnitride (SiOCN) [4], etc. However, the conventional vapor-phase deposition process temperature requirement for BN (>400 C) is generally exceeding the BEOL compatible range.

In this study, we report a BEOL compatible highly conformal BN deposition process through plasma-enhanced atomic layer deposition (PEALD), in which tris(ethylmethylamino) borane (TEMA-B) and ammonia (NH3) are employed as the metal and nitrogen sources. The deposition process is carried out within the range of 200–350°C. To validate the deposition of BN as well as material composition, X-ray photoelectron spectroscopy (XPS) is employed. Additional materials character techniques, including X-ray reflectivity (XRR), Raman spectroscopy, atomic force microscopy (AFM), etc., will be utilized to comprehensively evaluate the properties of the thin films. Furthermore, to determine the dielectric constant of BN, metal-insulator-metal (MIM) capacitors will be fabricated, with C-V and I-V measurements conducted for thorough characterization. The detailed experimental procedure and results will be presented.

The work is supported through NASA grant 21-APRA21-0100.

[1] K. Kim et al.,IEEE Trans. Electron Devices 70, 2588 (2023).

[2] R.J.O.M Hoofman et al., Microelectron. Eng. 80, 377 (2005).

[3] S. D. Nehate et al., ECS J. Solid State Sci. Technol. 10, 093001 (2021).

[4] M. Gu et al., Electronics Letters 56, 514 (2020).

AA-TuP-59 Promising ALD Precursor for 1 nm Process: Ru-Based ALD Precursors
Zi-Yu Yan, Bryan Yong-Jay Lee, Yu-Chieh Pao (Industrial Technology Research Institute)

As the technology trend is focused towards downscaling the size of transistors, the critical dimensions (CD) of integrated circuits (ICs) continues to shrink. Cu (copper) has the lowest bulk resistivity among other metals and therefore has become the primary material to use as a wire for electrical conductivity. However, as dimensions are scaled down, the resistivity of Cu increased by 2.5 fold under the size of 22 nm nodes, compared to bulk Cu. With the technology trend focused towards downscaling the size of transistors, this phenomenon become fatal and will impact the overall performance of the circuit. According to past research, Ru (ruthenium) has a lower resistivity at a thin metal line thicker than Cu starting from 10 nm, and therefore is promising as a replacement for Cu. In addition, Ru has a high resistance to electromigration due to its high melting temperature (2334 ℃). These two advantages have drawn much attention to Ru and it is expected that Ru will play a big role as gate metal for 1 nm processing.

Researchers can fabricate Ru metal thin films using a variety of deposition techniques, such as electroplating, chemical vapor deposition, and atomic layer deposition (ALD). Among these methods, ALD process has an extremely high application value because of its excellent film growth thickness controllability, step coverage and large-area uniformity. In the semiconductor industry, where the constant goal is to make components smaller and smaller, ALD has gradually replaced the traditional coating process.

A good ALD precursors need to match several requirements, such as having high vaporization rate, high reactivity, and high thermal stability. However, Ru precursors are mostly solid and require a higher heating temperature in order to transform it to gas phase for ALD processing which consumes more energy and requires more time. Chemists have found that by introducing high-steric-hindrance substituents to molecules, it can weaken its intermolecular interaction, therefore turned the precursor to a liquid form in its normal state and also increase its thermal stability. Herein, we would like to introduce two precursor examples, RuCp2 and Ru(CpEt)2, which have been successfully synthesized by our team. Also, these two compounds were developed along with other Ru precursors with different substitution groups. We look forward to further developments of these precursors that can be used for 1 nm ALD processing.

View Supplemental Document (pdf)
AA-TuP-61 Carrier Conduction Mechanisms in MIS Capacitors with Ultra-Thin Al2O3 at Cryogenic Temperatures
Joel Molina-Reyes (National Institute of Astrophysics, Optics and Electronics)

The study of the physical mechanisms associated with charge transport through thin Al2O3 films and the charge trapping phenomena are of great importance in the development of advanced Al2O3-based electron devices. These mechanisms have been studied at ambient temperature, as well as in temperature ranges above 300K. However, it is becoming highly relevant to consider cryogenic temperatures for these physical phenomena in order to develop devices for aerospace and cryoelectronic applications (like superconducting devices applied to sensing and quantum computing). In this work, a study comprising the electrical characterization and analysis of the electrical response of metal-insulator-semiconductor (MIS) Al/Al2O3/Si capacitors in a temperature range from ambient temperature down to 3.6 K is presented.

Ultra-thin Al2O3= 6, 2 nm were used as insulating layers by thermal ALD, thus ensuring high reproducibility in their physical and electrical characteristics. Current-voltage and electrical stress measurements were performed on the capacitors in the specified temperature range, and the experimental data obtained were analyzed using current transport equations to model the conduction mechanisms that allow charge transport through the Al2O3. Energetic parameters associated with trap levels within the Al2O3 bandgap corresponding to (1) trap-assisted tunneling and (2) direct-tunneling as main conduction mechanisms for 6 and 2 nm of

Al2O3 respectively, were obtained and their temperature dependences were associated with the presence of physical material defects. Additional phenomena that limit charge transport were also observed, such as (a) charge trapping in the bulk of Al2O3 upon the application of electrical stress at ambient temperature and (b) silicon freeze-out at cryogenic temperatures. For MIS devices, freeze-out represents the universal limit for carrier transport when silicon reaches 25 K. Our findings constitute an effort at understanding the physical phenomena that govern the electrical behavior of thin-film Al2O3-based capacitors, especially at cryogenic temperatures, given that these materials and devices are of great importance for applications in CMOS-based cryoelectronics and quantum technologies, among others.

View Supplemental Document (pdf)
AA-TuP-63 Alumina Coating of Diamond Filler Using Atomic Layer Deposition to Produce Thermal Interface Material
Hiroya Ishida, Kaoru Shibuta (Sekisui Chemical Co., Ltd.); Markus Groner, Joseph Gauspohl (FORGE NANO, Inc.)

Composite materials consisting of fillers and resins can provide performance or functionalities that single materials cannot, and so are widely used in industry. Examples include the thermal interface materials (TIMs) employed in various electronic devices for thermal management, for which there is a greatly increased demand. With the advent of 5G communications, large amounts of data must be transferred between devices and cloud-based services at high speeds. Associated semiconductor devices such as wireless communications antennas, CPUs and GPUs must operate at high frequencies and so generate large amounts of heat, which can have a major impact on their performance and service life. TIMs are typically inserted between silicon dies and heatsinks or heat spreaders to release heat and so maximize performance. These materials are employed in smartphones, communications modules, automobiles, computer servers and industrial equipment. The thermal conductivity of TIMs directly affects the performance of semiconductors, and so the selection of an appropriate TIM is as important as the module and package design with regard to thermal management.

TIMs having high thermal conductivity allow flexibility in both the module design and assembly location. The use of appropriate materials is also a vital aspect of meeting market requirements and producing advanced TIMs with greater functionality and versatility. The thermal conductivity of a composite material is determined by both the filler and the resin, in addition to the filler proportion, as summarized by the Bruggeman model. Diamond has the highest thermal conductivity of all bulk materials, and so a high diamond filler content will increase the thermal conductivity of a composite. However, the limited compatibility of diamond with matrix resins limits the practical loading levels. Chemical modification of diamond surfaces to improve compatibility has been demonstrated but is still not well understood or sufficiently established. On this basis, the present study attempted to generate thin alumina coatings on diamond fillers using atomic layer deposition as a means of improving the compatibility of this filler with resins. The resulting alumina layers on diamond exhibited enhanced reactivity with silane compounds and increased the compatibility of diamond with a silicone resin without decreasing the thermal conductivity. Alumina-coated diamond specimens were also characterized in detail and the results are reported herein.

AA-TuP-65 Hf-Doped IGZO/IZO Heterojunction Thin-Film Transistors Fabricated by Atomic Layer Deposition for OLED Display
Tae Geun Kim, Kang Min Lee (Korea University)

Metal oxide thin films, widely used as channel materials for thin-film transistors (TFTs), have advantages such as high transparency, low leakage current, high uniformity, and high on/off ratio, making them useful for driving display and flexible electronics. However, they still have a lower mobility than low-temperature polycrystalline silicon (LTPS) TFTs and require high temperatures annealing process (>300 °C). Notably, the process temperature needs to be reduced for operation at flexible substrates; however, this leads to a degradation in electrical properties.

To solve this problem, we developed a heterojunction oxide-based TFTs with planar and mesh-patterned channel layers, exhibiting enhanced flexibility and superior electrical characteristics. In addition, by utilizing Hf-doped IGZO/IZO heterojuction structures, we could improve the device bias stability with a low-temperature process. The incorporation of a mesh pattern expanded a quasi two-dimensional electron gas (q-2DEG) region, enhancing the TFT performance with flexibility. Moreover, when combined with OLEDs, the devices exhibited very operation in severe bending conditions, showcasing their usefulness for the future flexible display. More detailed results including transfer curve characteristics will be presented at the conference.

AA-TuP-66 Precise Tuning of Tamm Plasmon-Polaritons Resonances with sub-Nanometer Accuracy by Atomic Layer Deposition
Mantas Drazdys, Ernesta Bužavaitė-Vertelienė, Darija Astrauskytė, Zigmas Balevičius (Center for Physical Sciences and Technology)

During the last decade, much attention has been given to photonic crystal (PC) structures covered with a thin metal layer on top of PC. A type of surface mode can be generated in PC-metal structures, the so-called Tamm plasmon-polaritons (TPP), appearing at the boundary between the PC and the metal layer. TPPs are optical states, which are similar to the electron states proposed by I. Tamm and can occur in the energy band gap at a crystal surface. These energy band gap regions are the stop band of the PCs due to the Bragg reflections in the periodic structure. The TPPs are non-propagating states which can be excited in both p- and s-polarizations. The optical dispersion properties of TPPs lead to simple optical configurations without additional couplers (prisms or gratings) which are necessary for excitations of well-known surface plasmon polaritons (SPPs). This opens new possibilities for various applications such as optical biosensors, bandgap filters, nanolasing, and others. For further technological progress in these applications, precise tuning of the optical properties of the TPP-based nanostructures as well as the plasmonic resonance position in the spectra is necessary, therefore, atomic layer deposition (ALD) is a very suitable technique with monolayer-by-monolayer growth of angstrom resolution. In this study, we demonstrate the potential capability to control TPP by applying ALD as a highly precise technique for plasmonic applications. Spectroscopic ellipsometry and polarized reflection spectroscopy identified the TPP resonances in the photonic band gap (PBG) formed by periodically alternating silicon oxide and tantalum oxide layers. The TPP resonance dependence on Al2O3 layer thickness was evaluated, where 3 nm of Al2O3 layer thickness difference corresponded to ≈3 nm shift of TPP minima, demonstrating precise control capabilities when the ALD method is used.

AA-TuP-67 Rotating Drum ALD – an Alternative Approach for ALD Coating of Powders
Mario Krug, Marco Radehaus, Mandy Höhn (Fraunhofer Institute for Ceramic Technologies and Systems IKTS); Philipp Heizmann (University of Freiburg)

Particle agitation is a crucial point for applying coatings on powder materials. Here, an alternative approach of a rotating drum within a tubular hot-wall reactor is presented. The rotating drum is a gas-flow-through-type drum offering a powder capacity of up to 100 cm³. The powder agitation is realized by a special geometry in combination with the rotational speed of the drum. Different to a classical rotary kiln the rotating drum provides an easy-to-change and easy-to-clean option for batch processing under research and development conditions. A high freedom for experimental studies on a broad variety of powders is given by adjusting the powder particle agitation independently from the gas flow setting.

Moreover, this setup addresses important process capabilities, like tailor-made coating process designs, processing powders with broader particle size distributions and possibility of a gas phase pre- or post-treatment without vacuum break at temperatures of up to 1050 °C. Because of the modular design adaptations of the drum geometry, gas input and gas output openings as well as particle retention filters are possible without excessive effort using cost effective graphite as drum material which is passivated by a CVD-TiN coating. In case of the technological need of very thick coatings the transition from ALD to thermal CVD (standard or pulsed) can be realized using the same setup.

The experimental setup of the laboratory scale coating facility is described. Furthermore, the results of an example process of Al2O3- as well as AlTiOx-coating on LiNi0.5Mn1.5O4 particles (LNMO) are presented.
AA-TuP-69 Improving Ferroelectricity of Hafnia-Based Ferroelectrics by Adopting Anti-Ferroelectric Interfacial Layer
Dae Haa Ryu, Joonbong Lee, Taekjib Choi (Sejong University)

Hafnia-based ferroelectrics (FEs) are one of the most promising candidates for next-generation non-volatile memory owing to their scalability and complementary metal-oxide-semiconductor (CMOS) compatibility. However, they have limitation in endurance properties which need to apply high operating voltage for switching ferroelectric polarization. On the other hand, hafnia-based anti-ferroelectrics (AFEs) have advantages for fatigue effect because their movement of defects are much smaller than ferroelectrics in phase transition. Furthermore, AFEs show high speed operation due to their rapid switching of polarization, unlike the gradual polarization reversal in ferroelectrics. In this study, AFE and FE Hf(1-x)ZrxO2 (HZO) were consisted multi-layer heterostructure for utilizing their advantages (i.e., lower fatigue and non-volatile switching). In this structure, fatigue effect could be decreased without reducing its remnant polarization in few nano-meter thickness. By controlling the interface between AFE and FE materials, it is possible to reduce the leakage current, a critical issue in electronic devices of a few nanometers, caused by naturally formed suboxide.

Acknowledgements

1. This work was supported by Korea Institute for Advancement of Technology(KIAT) grant funded by the Korea Government(MOTIE) (P0012451, The Competency Development Program for Industry Specialist)

2. This work acknowledges the support of the National Research Foundation of Korea (NRF) grant funded by the Korea government(MSIT) (NRF; Grant Mo. NRF-2021R1A2C2010781).

AA-TuP-70 Atomic Layer Deposited p-type SnO Thin Film Transistors: Effect of Deposition Temperature on Device Performance and Stability
Kham M. Niang (University of Cambridge); Babak Bakhit (University of Cambridge, UK); James Parish (University of Bath, U.K.); J. Driscoll (University of Cambridge); A Johnson (University of Bath); Andrew Flewitt (University of Cambridge)

Recently, p-type tin monoxide thin film transistors (SnO TFTs) produced by atomic layer deposition (ALD) has been gaining attention due to good reported device performance (field effect mobility, mFE ~1 cm2V-1s-1) and the relative ease in producing phase pure tin monoxide thin films when using a Sn (II) containing precursor.1 This is a promising step towards realizing complementary metal oxide semiconductor (CMOS) circuits using TFTs when combined with, n-type amorphous oxide (such as indium gallium zinc oxide) TFTs.2,3

Various novel Sn (II) precursors have been reported recently. The most commonly used of these is bis(1-dimethylamino-2-methyl-2-propoxy)tin,4 and the corresponding TFTs show superior performance with mFE ~ 1 cm2 V–1 s–1 and ION/IOFF ~ 106 at maximum processing temperature of 250 °C.1 We have also previously reported ALD SnO thin films using a new precursor called Sn (II) bis(tert-butoxide), with TFTs exhibiting mFE ~ 0.6 - 2 cm2 V–1 s–1 and ION/IOFF ~ 103- 104 after performing post annealing at temperatures between 250 - 350 °C.5

Here, we will report on further optimization of the ALD process conditions with this precursor including the deposition temperature and post-annealing temperature. We will show how these conditions affect the thin film morphology, grain size and the consequent TFT performances. We will also show how ALD technology allows us to easily and consistently produce SnO TFTs with either predominantly p-type conductivity or more pronounced ambipolarity. Finally, we will report the stability of the SnO TFTs under gate bias stress tests.

References

  1. S. H. Kim, et al., “Fabrication of High-Performance P-Type Thin Film Transistors Using Atomic-Layer-Deposited Sno Films,” Journal of Materials Chemistry C 2017, 5, 3139-3145.
  2. N. C. A. van Fraassen, et al., “Optimisation of geometric aspect ratio of thin film transistors for low-cost flexible CMOS inverters and its practical implimentation”, Scientific Reports, vol. 12, no. 1, 16111, 2022.
  3. K. Nomura, et al., “Room-temperature fabrication of transpoarent flexible thin-film transistors uisng amorphous oxide semiconductors”, Nature, 432, 488, 2004.
  4. J. H. Han, et al., “Growth of P-Type Tin(Ii) Monoxide Thin Films by Atomic Layer Deposition from Bis(1-Dimethylamino-2-Methyl-2propoxy)Tin and H2O,” Chemistry of Materials 2014, 26, 6088-6091.
  5. D. Gomersall, et al., “Multi-Pulse Atomic Layer Deposition of P-Type Sno Thin Films: Growth Processes and the Effect on Tft Performance,” Journal of Materials Chemistry C 2023, 11, 5740-5749.
AA-TuP-72 Oxide Based Neuron Devices Employing ALD Grown Dielectrics and Channel Layer
Jung Wook Lim (YUSEONG-GU GAJEONGDONG 218 ETRI); Jaehee Lee, Charin Yu (UST)

Artificial neural networks has demonstrated remarkable performance in learning tasks and extensively explored in recent years [1-2]. However, due to substantial power consumption and the processing of massive data, a more efficient spiking neural network approach, mimicking the human brain, has emerged as an alternative, and active research is current underway. To address these challenges, research has been conducted on CMOS-based neuron devices [1]. However, due to the intricate structure, there is still a demand for the adoption of neuron devices using 1T (a single transistor) architecture non-silicon-based semiconductor materials. Our research group implemented synaptic devices based on oxide semiconductors, demonstrating excellent linear learning characteristics [3]. In this study, we aimed to realize the firing characteristics of neuron devices in a 1T structure concerning electrical stimulation by leveraging charge trap techniques employed in this research. The neuron device with a 1T structure features a bottom gate configuration, as illustrated in Figure 1. The semiconducting channel and dielectric layers were sequentially deposited using ALD, effectively trapping charges at the interface. Under regular and repetitive electrical stimuli with a consistent voltage magnitude and pulse duration, as positive charges become trapped, the transistor’s transfer curve exhibits a rapid negative shift. Furthermore, with the continued accumulation of pulse stimuli, once surpassing a critical threshold, a sudden current flow occurs, leading to firing. When applying periodic stimuli to the gate electrode of the neuron device with a voltage of 11 V and varying pulse durations of 100, 300 and 500 μs, while maintaining a pulse interval of 300 ms, the firing patterns of drain current manifested as depicted in Figures 2 (a) to (c). The on/off ratio of the firing current was approximately 103, and as the pulse duration increased, there was a tendency for a decrease in the frequency of firing. As firing drain current needs to be converted to the voltage signal when transmitted to the subsequent synaptic devices in the neural network circuit, requiring a conversion of current signal to voltage signal by connecting a resistor, Figure 2 (d) shows the transformation of the current signal in Figure 2 (c) into a voltage signal. Although we successfully emulated the firing characteristics of neurons with a 1T structure based on oxide semiconductors, the actual human brain exhibits more intricate firing patterns.

View Supplemental Document (pdf)
AA-TuP-73 MgF2-Based Conformal Anti-Reflection Coatings on Highly Curved Lenses by Atomic Layer Deposition
Yuma Sugai (Shincron Co., Ltd.); Hironori Sugata, Takuya Sugawara (Shincron Co. Ltd.,); Safdar Muhammad, Jani Hämäläinen, Nina Lamminmäki, Juhana Kostamo (Picosun Oy, an Applied Materials company)

Anti-reflection (AR) coatings are crucial for a myriad of optical applications. The demand for conformal AR coatings over non-planar substrates, such as three-dimensional (3D) glass or curved lenses, is increasing. While physical vapor deposition (PVD) techniques—including electron beam evaporation and ion beam sputtering with planetary rotation—have been commonly employed for such AR coatings, these methods are struggling to maintain conformality over substrates with high aspect ratio, small dimensions or significant curvature. In response to these limitations, AR coating using Atomic Layer Deposition (ALD) emerges as a promising solution capable of achieving uniform coatings on such complex surfaces.

In this study, ALD was utilized to deposit multilayer AR films composed of MgF2, SiO2, Al2O3, and HfO2. Notably, MgF2 thin films are desirable for top layer due to their low refractive index (sub 1.4), wide spectral transparency from the ultraviolet to the infrared region, and considerable chemical stability. First, optimizing the thickness uniformity of the four distinct films using the Applied® Picosun® R-200 Advanced [1] was performed, then their individual optical properties were investigated. This information supported the design of a seven-layer AR film, and the AR layers onto substrates with highly curved lenses were subsequently deposited. Then, the optical performance of the coated lenses, focusing on reflectance was measured. Our measurements indicate that conformal AR coatings on lenses with pronounced curvature were successfully deposited. The research highlights the potential for achieving highly uniform AR coatings with precise optical control in areas such as medical optics, photonic integrated circuits, and meta-surface devices.

In conclusion, this study conclusively demonstrates the superiority of ALD-based AR coatings on complex geometric surfaces, offering an effective alternative to traditional PVD methods when confronted with their intrinsic constraints. With further optimization of the material stack and refinement of the deposition process, ALD presents a scalable and reliable avenue for fabricating novel AR coatings.

[1] Y. Sugai et al, “Atomic layer deposition of magnesium fluoride for optical application” Optical Interference Coatings Conference 2022, TC5 (2022)

AA-TuP-74 Enhanced Uniformity of Optical Films on Highly Curved Lenses using Atomic Layer Deposition for Small to Mid-Sized Batch Production
Hironori Sugata, Yuma Sugai, Ryosuke Sugawara, Takuya Sugawara (Shincron Co., Ltd.,); Safdar Muhammad, Jani Hämäläinen, Nina Lamminmäki, Juhana Kostamo (Picosun Oy, an Applied Materials company)

In the field of optics, the generation of anti-reflective (AR) coatings on high-curvature convex lenses, crucial for various applications, has been predominantly realized through Physical Vapor Deposition (PVD) methods like evaporation and sputtering. While conventional PVD techniques with planetary rotation can achieve a non-uniformity (NU) ≤ 5% on lenses over 30 mm in diameter, maintaining thickness uniformity remains a challenge. Notably, Atomic Layer Deposition (ALD) equipment manufacturers typically advertise coating NUs of 1% to 3% over flat substrates, with claims of conformal coating over 3D structures [1]. However, uniformity can vary with material and process conditions, and there is a lack of detailed literature on uniformity achievements in ALD mass production. Our study demonstrates marked improvements in film thickness uniformity through ALD for small to mid-sized batch processing.

In this study, a R-200TM Advanced ALD system, manufactured by Picosun Oy (Espoo, Finland,) was utilized to conduct a small-scale batch test run. A custom fixture was designed, allowing simultaneous deposition of a single-layer 300nm thickness SiO2 on 36 convex lenses. Thickness uniformity was evaluated using the USPM-RU-W NIR Microspectrophotometer from Olympus Corporation (Tokyo, Japan), capable of precise reflectivity measurement at the micro-spot level. The lenses were mounted on a tilt stage to ensure perpendicular light incidence relative to the lens surface by fine-tuning x, y, and z positioning.

Our results indicated intra-lens NU of ≤ 1.2% within the optimally coated regions of the batch. Across the entire batch, NU was maintained at ≤ 5.0%. The findings underscore the capability of the Picosun® downflow thermal ALD system to achieve uniform coatings suitable for small to mid-sized batch production and represent a substantial advancement towards commercialization.

[1] Kristin Pfeiffer et al. “Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition” Coatings 2017, 7, 118; doi:10.3390/coatings7080118

AA-TuP-75 Enhancing the Stability of p-Type Tin Monoxide Thin Film Transistor Through 2D Structure Optimization via Discrete Feeding Method
Haklim Koo, Hye-Mi Kim, Su-Hwan Choi, Wonbum Lee, Seo-Yeong Kim, Jin-Seong Park (Hanyang University, Korea)

Oxide semiconductors have a wide range of applications in electronics, including displays, semiconductors, and sensors, due to their exceptional electrical properties. These properties include high mobility, low off-current, and excellent uniformity. However, achieving high electrical performance in p-type oxide semiconductors is challenging due to the delocalized hole conduction path and difficulty in carrier formation mechanisms. Tin monoxide (SnO) is a promising p-type material among several candidates. This is due to its low formation energy of tin vacancies (VSn) and high hole mobility resulting from the delocalization of the hole conduction path. However, the SnO structure has low thermal stability, and it can easily undergo a phase transition to n-type tin dioxide (SnO2). Therefore, it is important to study fabrication methods to obtain stable SnO. Several studies have reported the formation of SnO through sputtering. However, fabricating stable SnO thin films is challenging due to the narrow process window and occurrence of phase mixing. Recently, ALD has gained attention in research due to its advantages. ALD is preferred over sputtering methods due to its highly conformal growth in high aspect ratio structures and low damaging effects, making it suitable for 3D stacked devices.

In this study, we suggested that the 2d structure of c-axis aligned tetragonal SnO could be improved by controlling the precursor feeding process by evaluating water contact angle and grazing-incidence wide-angle X-ray scattering (GIWAXS) (Figure 1). Through controlling the precursor feeding method, which divides the dosing step into several stages, surface coverage was improved, and c-axis crystallinity was enhanced, which has fewer structural defects by optimizing horizontal crystal growth. As a result, it was confirmed that excellent reliability characteristics were obtained, and the thermal reliability of SnO-TFT, which had never been previously reported, was also confirmed to have outstanding characteristics. SnO-TFT we manufactured has an excellent reliability of +0.33 V and -0.25 V in P(N)BS (±2 MV/cm, 3,600s, RT), +0.47 V and -0.11 V in P(N)BTS (±2 MV/cm, 10,000s, 60℃). In addition, we have successfully fabricated a flexible SnO-TFT with a Vth change of only 0.06V even after 10,000 cycles of bending test with a curvature radius of 0.5cm (Figure 2). Through this process optimization research, we will be able to secure the stability of the SnO thin film and realize stable operation of the p-type oxide semiconductor, thereby promoting the application of oxide semiconductors to various industries such as displays. View Supplemental Document (pdf)
AA-TuP-76 Resistive Switching in Hafnium-Titanium-Oxide Thin Films Grown by Atomic Layer Deposition
Toomas Daniel Viskus, Joonas Merisalu, Aarne Kasikov, Lauri Aarik, Kaupo Kukli (University of Tartu)

Resistive switching has been previously studied in both titanium oxide [1] and hafnium oxide[2] metal-insulator-metal (MIM) structures. Devices utilizing TiO2/HfO2 bilayers have also been studied [3].

We investigated resistive switching properties of devices where TiO2:HfO2 mixed oxide dielectric layers were grown by ALD on RuO2 bottom electrode with Pt top electrodes. The electrodes were deposited using magnetron sputtering. ALD was carried out at 350 °C. The precursors used were HfCl4, TiCl4, H2O. Multiple samples with a varying ratio of TiO2 to HfO2 deposition cycles were prepared. For each ratio, both an as-deposited sample and a sample annealed for 30 minutes at 400 °C were evaluated.

GIXRD measurements showed that, as the HfO2:TiO2 ALD cycle ratio grew, one could at first observe the transition from the TiO2 rutile phase to the HfTiO4 orthorhombic ternary phase, followed by further transition the monoclinic HfO2.

Electrical measurements were carried out using a Cascade Microtech EPS-150 probe station and a Keithley 2636A source-meter. All studied samples showed resistive switching properties. As-deposited devices with the HfTiO4 orthorhombic phase and a HfO2:TiO2 ratio of 1:3 demonstrated ratio between the low resistance state (LRS) and high resistance state (HRS) about 10, with an endurance measurable up to thousands of cycles. Retention measurements were carried out at variable temperatures, up to 140 °C, for 6 hours and showed good stability for both LRS and HRS. Preliminary device-to-device repeatability tests were also carried out and showed that annealed devices demonstrated better repeatability when compared with non-annealed devices.

References:

[1]S. C. Oh et al., ‘Effect of the top electrode materials on the resistive switching characteristics of TiO2 thin film’, Journal of Applied Physics, vol. 109, no. 12, p. 124511, Jun. 2011, doi: 10.1063/1.3596576.

[2]A. S. Sokolov et al., ‘Influence of oxygen vacancies in ALD HfO2-x thin films on non-volatile resistive switching phenomena with a Ti/HfO2-x/Pt structure’, Applied Surface Science, vol. 434, pp. 822–830, Mar. 2018, doi: 10.1016/j.apsusc.2017.11.016.

[3]C. Ye et al., ‘Enhanced resistive switching performance for bilayer HfO2/TiO2 resistive random access memory’, Semiconductor Science and Technology, vol. 31, no. 10, p. 105005, Oct. 2016, doi: 10.1088/0268-1242/31/10/105005.

AA-TuP-78 Comparative Study of ZrAlxOy - based MIM Decoupling Capacitors with high-κ Dielectric Grown by 3 Generations of Metalorganic ALD Zr-precursors
Konstantinos Efstathios Falidas, Kati Kühnel, Alison Erlene Viegas, Malte Czernohorsky (Fraunhofer Institute for Photonic Microsystems (IPMS))

Decoupling capacitors provide stable capacitance over voltage, allowing good voltage regulation and noise immunity. Typically placed in BEoL, these MIM capacitors rely on high-κ dielectrics deposited through ALD. Zirconium oxide, a well-established oxide since DRAM times, is commonly doped with Al in alternating laminates. This doping strategy aims to control crystallization, minimize leakage, and enhance capacitor’s operational lifespan. The selection of appropriate metal precursors becomes pivotal in achieving optimal electrical properties that align with strict industrial standards.

This study presents a comparative analysis of 3 "generations" of metalorganic Zr-precursors employed in planar MIM capacitors. The explored Zr-precursors include 1st generation TEMAZr, 2nd generation Air Liquide ZyALD™ and the recently synthesized 3rd generation Air Liquide Kaze. Ozone serves as reactant agent and Ar as purge gas. Given the novelty of Kaze’s various ALD parameters, such as deposition temperature (TD), purge time, oxidant time are systematically adjusted to achieve stable performance and properties comparable to existing precursors in BEoL (TD≤400°C). Additionally, the step coverage of the last 2 generations is compared to facilitate Kaze’s application in deep 3D capacitors. Kaze exhibits coverage slightly better than its predecessor.

For ZrAlxOy stacks, Al is introduced using Trimethylaluminum. Kaze’s uniformity and carbon impurities saturate at TD≤400°C. Therefore, 2 TD (350°C, 400°C) were further examined. Beyond chemical and structural analysis, MIM stacks are fabricated on 300 mm wafers incorporating TiN electrodes on both sides. Their electrical characteristics are scrutinized, considering parameters such as capacitance density and field linearity (α) across varying temperatures and frequencies. Across all 3 generations, C0 exhibits stability over frequency (1-100 kHz). Higher TD for Kaze400°C demonstrates a 62.5% lower α compared to Kaze350°C. In comparison to TEMAZr, Kaze showcases a notable 93.7% improvement in α. Given the paramount importance of α for decoupling purposes, the decrease in C0 is considered negligible. Moreover, studies are conducted on leakage, breakdown, and reliability. Both J-E curves and EBD reveal for all 3 generations consistent and analogous behavior over a broad temperature window (25-125°C). The extrapolated lifetime of Kaze at TD=400°C and under maximum temperature stress, exceeds the performance goal of 10 years under operating field conditions, indicating Kaze as a competitive precursor for Zr-based decoupling capacitors.

View Supplemental Document (pdf)
AA-TuP-79 ALD Capping Layers for Copper Redistribution Lines
Ritwik Bhatia (Veeco); Emmanuel Chery (imec); Ganesh Sundaram (Veeco); Nelson Pinho, Eric Beyne (imec)

Chiplet based architectures have enabled integration of diverse chips (memory, logic, AI accelerators etc) into a high-performance package. Copper redistribution lines (RDL) enable connections between chiplets by moving power and signal lines to locations better suited for inter-chip bonding. One of the most promising approaches relies on the patterning of copper lines embedded in a polymer matrix [1]. Unfortunately, polymers are generally not good barriers against oxygen and moisture diffusion [2]. This makes the copper lines prone to oxidation (Figure-1, 3a) which is especially problematic as the width of the copper line becomes smaller [2].

In this study, ALD thin films, deposited at 100 °C, are evaluated as an oxidation barrier via high temperature storage (HTS) - 150oC for 1000 hours. Al2O3 is shown to be as good a barrier at 15nm as it is at 50nm (Figure-2). Thin capping layers are of primary importance, as they allow connections to the above metal layer through a simple sputter etch process to reopen the ALD film and land a via. ALD HfO2 is also found to be a good barrier, while TiO2 is not. Long term reliability testing (HTS for more than 2000 hours, corrosion testing (85-85 humidity + HTS) and temperature cycling) of daisy chained copper lines with ALD Al2O3-TiO2 as a barrier layer show no change in the resistance value (Figure-3b) confirming the ability of the ALD layer to fully block the oxidation of the copper lines. This indicates a very high quality of barrier performance.

[1] Inorganic capping layers in advanced photosensitive polymer based RDL processes: processing and reliability, N. Pinho et al., IEEE ECTC, 2023.

[2] Reliability Study of Polymers Used in Sub-4-µm Pitch RDL Applications, Chery et al., IEEE Transactions on Components, Packaging and Manufacturing Technology, 2021, Vol. 11, No. 7, p. 1073-1080

[3] Inorganic Capping Layers in RDL Technologies: Process Advantages and Reliability. E. Chery et al. JOM 2023. https://doi.org/10.1007/s11837-023-06015-x

View Supplemental Document (pdf)
AA-TuP-80 Incipient Ferroelectric Properties in as-Deposited Hafnium Zirconium Oxide for BEoL Applications
Alison Erlene Viegas (Fraunhofer Institute for Photonic Microsystems (IPMS) - CNT); Kati Kuehnel (Fraunhofer Institute for Photonic Microsystems (IPMS)- CNT); Shouzhuo Yang, Konstantinos Efstathios Falidas (Fraunhofer Institute for Photonic Microsystems (IPMS) - CNT); Malte Czernohorsky (Fraunhofer Institute for Photonic Microsystems (IPMS)- CNT)

The discovery of ferroelectric properties in doped hafnium oxide in 2011, has driven forward the research in the development of ferroelectric hafnium oxide devices. The ferroelectric phase can be stabilized by dopants, mechanical strain and annealing conditions. For application such as ferroelectric memories, a key issue is the wake-up effect, which means that the device has to be pre-stressed before the actual operation. Doping hafnium oxide with zirconium, makes it possible to fabricate devices in the Back-End-of-Line (BEoL), as the crystallization and annealing conditions are well within the BEoL restrictions.

In this paper, we report the development of the ferroelectric properties with as deposited hafnium zirconium oxide (HZO) using a new generation of metalorganic precursors, Air Liquide’s Kahe and Kaze for hafnium and zirconium respectively, designed to be operated at higher temperatures. With higher deposition temperatures, and a slow growth rate, the deposited HZO samples are crystallized in the metastable ferroelectric phase during deposition. Different ratios of hafnium to zirconium are deposited under varying deposition temperatures, to determine the optimum concentration for ferroelectricity. Higher deposition temperatures could also provide the possibility to deposit in high aspect ratio structures with good step coverage. The films are characterized by ellipsometry, Scanning Electron Microscopy (SEM), X-ray diffraction (XRD) and X-ray photon spectroscopy (XPS) for the material properties. The XRD patterns show that the as deposited samples, for different ratios of Hf:Zr (deposited at 350°C), are already crystalline in the ferroelectric orthorhombic phase, without any signatures of monoclinic phase. The electrical properties were tested by depositing the material between two sandwiched titanium nitride electrodes in the form of a metal-insulator-metal capacitor. Initial testing reveals a wake-up free HZO samples with good memory window of 29.8 µC/cm² at 105 cycles.

View Supplemental Document (pdf)
AA-TuP-81 Enhanced Transmittance of Stacked 3D Micro-Optics by Atomic Layer Deposition
Darija Astrauskyte (Center for Physical Sciences and Technology); Karolis Galvanauskas, Darius Gailevičius (Vilnius University); Mantas Drazdys (Center for Physical Sciences and Technology); Mangirdas Malinauskas (Vilnius University); Lina Grineviciute (Center for Physical Sciences and Technology)

Laser 3D nanolithography facilitates the fabrication of complex shape and stacked micro-optical components, such as micro-triplets [1]. However, the presence of multiple interfaces in such structures causes significant reflection losses. To address this issue, anti-reflective (AR) coatings can be applied to the surfaces of the micro-optics to minimize reflections and enhance the transmittance of the substrate. While physical vapor deposition methods are well-established technologies for depositing uniform and high-quality optical coatings on flat substrates, the stacked optical components require more versatile techniques. Atomic layer deposition (ALD) is a promising technology that can be applied to functionalize the complex shape and stacked micro-optical elements [1, 2].

In this work, ALD was used for depositing thin films on hybrid organic-inorganic polymer SZ2080™ micro-lenses and multi-level microstructures with diameters less than 100 μm. Micro-optics were fabricated using laser 3D nanolithography. Titania and alumina single-layer coatings and AR coating were deposited at 60 °C temperature using plasma-enhanced ALD process. Optical profilometry was used to evaluate changes in the geometry of the micro-lenses before and after the depositions. The AR coating successfully reduced reflection from 3.3 % to 0.1 % at 633 nm wavelength for one surface of SZ2080™ without affecting the geometry of the micro-lenses. Furthermore, the transmittance of the three-level microstructures was increased 80 % to 99 % after the deposition of AR coating.

1. K. Galvanauskas, et al. High-transparency 3D micro-optics of hybrid-polymer SZ2080™ made via Ultrafast Laser Nanolithography and atomic layer deposition. Opt. Open 104228 (2023).

2. D. Astrauskytė, et al. Anti-Reflective Coatings Produced via Atomic Layer Deposition for Hybrid Polymer 3D Micro-Optics. Nanomaterials 13(16):2281 (2023).
AA-TuP-82 Exploring Brookite Phase Formation in Vanadium Oxides by Atomic Layer Deposition for Uncooled Infrared Sensor Applications
Hyeonho Seol, Seungwoo Lee, Woojin Jeon (Kyung Hee University)

Vanadium oxide has been extensively studied as a material for the microbolometer of uncooled infrared sensors. Previous research has highlighted its high reactivity, as evidenced by the high temperature coefficient of resistance (TCR) value at room temperature [1]. Vanadium dioxide (VO2) exists in various crystalline phases, including monoclinic, brookite, and rutile phases [2]. The monoclinic phase, which is the most stable phase of vanadium dioxide, undergoes a semiconductor-to-metal transition known as the Mott transition at 67℃, rendering it unsuitable for use as an infrared detection layer for microbolometers over a wide temperature range [2]. In contrast, the brookite phase does not exhibit the Mott transition over a wide range around room temperature, making it suitable for use in infrared detection sensors.

In this study, we developed an atomic layer deposition (ALD) process for VO2 with a brookite crystal structure, which is a metastable phase among its polymorphs. We investigated the characteristics of vanadium oxide thin films under various process conditions, including deposition temperature, using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and X-ray fluorescence (XRF). TCR evaluation was conducted through resistance measurements at temperatures ranging from 30℃ to 100℃. The brookite phase was predominantly obtained at higher deposition temperatures, and it was revealed that the formation of the brookite phase was attributed to the presence of excess oxygen in the vanadium oxide thin film [3].

References

[1] P.V. Karthik Yadav et al., Sens Actuators A Phys, 342, 113611 (2022)

[2] Naoufal Bahlawane et al., Chem. Vap. Deposition, 20, 7-8-9, 299-311 (2014)

[3] Beibei Guo et al., J. Alloys Compd., 715, 129-136, (2017)

AA-TuP-83 In-Situ ALD Fabrication of Heterogeneous Gate Insulators for Improved Reliability in High-Mobility IGZO Transistors
Taewon Hwang, Yoon-Seo Kim, Hye-Jin Oh, Jin-Seong Park (Hanyang University, Korea)

Since their 2004 introduction by the Hosono group, oxide semiconductors (OS) represented by IGZO have revolutionized thin film transistor (TFT) technology, favored in the display industry for their transparency, uniformity, cost-effectiveness, low processing temperatures, high mobility, and extremely low off current. Atomic layer deposition (ALD) plays a key role in improving OS device characteristics by offering precise control over film thickness and composition of complex 3D structures, and the deposition of high-quality films. Yet, the high mobility of OS TFTs presents reliability challenges because OS is sensitive to impurities and defects like hydrogen and CO-related impurities. To fabricate a high-mobility and high-reliability OS device, not only the design of the active layer but also the process design surrounding the active layer must be followed. Inspired by the idea that internal defects in gate insulators and donor-induced species have opposite effects on threshold voltage shifts, we propose a novel approach to improve device reliability by in situ ALD stacking two gate insulators, each corresponding to one mechanism, with the reliability compensation that cancels out the two mechanisms. This strategy significantly enhances device reliability, mitigating the adverse effects of impurities and defects, and represents a significant breakthrough in semiconductor technology.

In this study, we enhance the reliability of high-mobility (>50 cm2/Vs) ALD-IGZO top-gate transistors by employing a meticulously designed heterogeneous gate insulator, using an in-situ ALD process. We developed a heterogeneous (SiO2/Al2O3) gate insulator, exploiting the distinct reliability mechanisms of opposing threshold voltage shifts in Al2O3 and SiO2 to neutralize the net reliability change within the transistor. Aimed at minimizing the impact of opposing charges, this method has been applied to high-mobility ALD-IGZO transistors, achieving significant improvements in positive-bias-temperature-stability (∆Vth = -0.02 V) and constant-current-stability (∆ID = 100.49 %). In conclusion, our investigation into reliability compensation, characterized by electron trapping in the gate insulator and compensation of shallow donor generation in the active layer with hydrogen defect passivation, provides profound insights into overcoming the reliability challenges typically associated with high-mobility ALD-OS TG transistors. This innovative, heterogeneous gate insulator design, rooted in an in-situ ALD process, offers a significant advancement in addressing the reliability issues of high-mobility ALD-OS TG transistors.

View Supplemental Document (pdf)
AA-TuP-84 Broadband Anti-Reflective Coatings with Graded Refractive Index on Plastic Optics
Philip Klement, Mario Zscherp, Henrik Spielvogel, Anja Henss, Sangam Chatterjee (Justus Liebig University Giessen)

Plastic optics made from acrylic glass or polycarbonate are widely utilized in various applications such as eyeglasses, cell phone cameras, windows, and displays due to their light weight, cost-effectiveness, and ease of manufacturing. However, achieving broadband, omni-directional, and durable anti-reflective (AR) coatings for these plastics poses challenges with conventional deposition methods. These challenges include limitations in process temperatures, damaging surface modifications induced by process plasma, and the absence of robust chemical bonding between the coating and the plastic.

In this study, we introduce nanoporous alumina coatings with a graded refractive index on plastic optics to address these challenges. By employing Atomic Layer Deposition (ALD) of alumina on poly (methyl methacrylate) or polycarbonate followed by immersion in hot water, we fabricate grass-like alumina structures. This approach yields outstanding AR-performance, achieving a remarkable reduction of the residual reflectance to 0.3% in the visible range (400‒900 nm) for a single-sided coating. Angular spectral reflectance measurements (0‒70°) confirm the omni-directional nature of the AR coating with minimal residual reflectance across all angles of incidence.

Furthermore, the infiltration of trimethylaluminium and water into the polymer substrate during the ALD process forms rigid chemical bonds between the polymer and alumina, resulting in durable coatings. We investigate the depth of Al infiltration into the polymer as a function of process time and find an enhancement in AR performance attributed to the formation of an additional graded refractive index at the coating-substrate interface.

The exceptional AR performance, ease of processing, strong adhesion to various optical plastics, and compatibility with commercial deposition systems make this AR technology highly promising for practical applications.

View Supplemental Document (pdf)
AA-TuP-86 Effect of Sn-doping on Atomic-Layer-Deposited Ultrathin In-Sn-O Thin-Film Transistors
Binbin Luo, Shi-jin Ding (Fudan University, China)
Indium oxide (In2O3) thin film transistors (TFTs) have attracted much attention for back-end-of-line (BEOL) compatible logic and memory applications towards monolithic 3D integration. Despite its excellent device performance, some challenges still exist for In2O3 TFTs such as high off-current (IOFF), easy crystallization (cubic structure) and instability. Doping with metal cations (e.g., Ga, Zn, Al, W, Ti, Si) is an effective method to resolve these issues in the sputtering-derived In2O3-based TFTs. Compared to other elements, Sn with tetragonal structure (SnO2), similar radius, and high binding energy with oxygen (531.8 kJ/mol) is a promising candidate, which is expected to not only inhibit crystallization but also enhance stability and mobility. In addition, InSnO (ITO) exhibits a lower permittivity of around 4 compared with IGZO (~16), which effectively reduces short-channel-effect (SCE). The atomic layer deposition (ALD) technique enables precise control of both the thickness and wafer-scale uniformity of the In2O3-based thin films. Besides, ALD can conformally deposit ultrathin films in nanoscale on three-dimensional (3D) structures such as sidewalls and deep trenches, which is a key technology for downscaling devices and monolithic 3D integration. This work focuses on the fabrication of high-performance ultrathin amorphous ITO TFTs using plasma-enhanced ALD (PEALD). Firstly, we obtained the temperature window and growth rate of PEALD In2O3 and SnO2 films, where In(CH3)3 (TMIn) and Sn(N(CH3)2)4 (TDMASn) were used as metal precursors for In and Sn. Then, we systematically investigated the effects of Sn-doping on the crystal structure of ITO films and the electrical performance of ultrathin (~3 nm) ITO TFTs. The compositions of ITO thin films were tuned by changing the cycle ratio of In2O3 to SnO2 (In:Sn). It is found that Sn is an effective dopant to inhibit crystallization of In2O3, decrease VO and increase mobility, but excessive Sn doping degrades the electrical performance of TFT. Among the various compositions, the TFT with In:Sn=7:1 channel demonstrated optimal electrical characteristics(μFE =54.8 cm2/Vs, Vth = -0.67 V, SS = 91 mV/dec, ION/IOFF = ~109). Our work provides a promising candidate for BEOL compatible transistors in monolithic 3D integration.
AA-TuP-87 Processing and Performance of Piezoelectric Lead Hafnate-Titanate Thin Films Grown by Atomic Layer Deposition for 3D MEMS Actuators
Nicholas Strnad, Ryan Knight, Ryan Rudy (DEVCOM Army Research Laboratory); Austin Parrish, Danmeng Wang, Andrei Shkel (University of California, Irvine); Jeffrey Pulskamp (DEVCOM Army Research Laboratory)

Lead hafnate-titanate (PbHfxTi1-xO3, PHT) is a ferroelectric and piezoelectric ceramic that is a structural isomorph of lead zirconate-titanate (PZT), a well-studied and commercially relevant multifunctional material, and exhibits very similar electromechanical properties. Thin film PZT has been implemented commercially in MEMS printheads and in ferroelectric random-access memory (FRAM). PZT is also utilized for MEMS actuators, MEMS sensors, and energy harvesters. 3D implementation of either ALD PZT or PHT can offer functional thin films with 200x+ material volume fill per unit area that scales with the device surface-area (e.g., trench or nanopore depth and pitch), offering larger piezoelectric or ferroelectric energy densities in a small footprint. Alternatively, 3D implementation of ALD PZT or PHT can be used to fabricate actuators on sidewalls or curved surfaces where they may deliver forces more effectively or enable new axes of motion for MEMS. Despite the large technological potential of 3D piezoelectric films, there are few reports of ALD processes for piezoelectric thin films and scant reports on applications or prototypes. Here, we present results of a novel ALD process for PHT using oxygen as a co-precursor on platinized silicon wafers and implement the PHT as a 3D actuator on curved glass-blown micro-scale hemispherical resonators. The resonators are a fundamental component of micro-scale hemispherical resonating gyroscopes (µHRGs) which are being explored as a high performance and low-cost alternative to traditional navigation-grade inertial sensors. (1) We will present precursor dose saturation curves for the PHT process, PHT thin film material characterization, and piezoelectric characterization of the 3D actuator using laser doppler vibrometry (LDV) measurements of the resonator displacement. Equally important, the PHT also displayed excellent ferroelectric properties, and exhibited a 2Pr of 68 µC/cm2 and a max polarization of +/- 78 µC/cm2 at +/- 8V, respectively.

  1. D. Wang, N. A. Strnad and A. M. Shkel, "Initial Demonstration of Fused Silica Dual-Shell Gyroscope Using Indirect Method of Piezoelectric Excitation," in IEEE Sensors Letters, vol. 7, no. 9, pp. 1-4, Sept. 2023, Art no. 2503004, doi: 10.1109/LSENS.2023.3307067.
AA-TuP-88 Stacked ALD Deposited Metal Oxide Films as Reliable Sensing Films for Organic Semiconductor-Based LAPS
Chia-Ming Yang, Yu-Cheng Yang (Chang Gung University); Bing-Huang Jiang, Chih-Ping Chen (Ming-Chi University of Technology)

Chia-Ming Yang a,b,c,*, Yu-Cheng Yanga,Bing-Huang Jiangc and Chih-Ping Chen c
a
Department of Electronic Engineering, Chang-Gung University, Taoyuan City, Taiwan (R.O.C.)
b Institute of Electro-Optical Engineering, Chang Gung University, Taoyuan City, Taiwan (R.O.C.)
c Department of Materials Engineering, Ming-Chi University of Technology, New Taipei City, Taiwan (R.O.C.)
E-mail: cmyang@mail.cgu.edu.tw

Light-addressable potentiometric sensor (LAPS) had been proven for chemical and bio sensing with ability of 2D imaging and easy fabrication. Recently, organic semiconductor had been proposed for the semiconductor layer of LAPS with advantages of high absorption, low-temperature process, capability of flexible substrates. However, restrictions are the stability and lifetime due to the electrolyte environment degraded the insulator/sensing membrane of LAPS. This research focuses on fabricating stacked aluminum oxide (Al2O3) and hafnium oxide (HfO2) using an atomic layer deposition (ALD) system for sensing membrane to improve the stability and lifetime of organic semiconductor-based of LAPS.

A zinc oxide (ZnO) layer was applied to serve as the electron transport layer by spin coating on indium tin oxide (ITO)/glass. An organic material layer with composition of PTB7-Th and PC71BM were mixed then deposited on the ZnO using a spin coater. Different metal oxide including Al2O3 and HfO2 with thickness of 6 nm for each layer to total thickness to be 24 nm were deposited by ALD to function as sensing films. The standard photocurrent versus bias voltage (PC-V) curves triggered by red laser (e.g., 658 nm wavelength) were collected in various sensing requirements including sensitivity, hysteresis and drift measurements for LAPS. In pH range from 2 to 10, the linearity and sensitivity of stacked ALD LAPS device is 99.7% and 50.7 mV/pH, respectively. The hysteresis width was -13.2 mV. Stacked ALD structure makes organic semiconductor-based LAPS can survive for whole drift measurement for 12 h and owns a drift coefficient of 3.26 mV/h, which approaches to basic requirement of inorganic semiconductor-based LAPS.

The employment of a multilayered configuration does offer a significant electrolyte-proof improvement, contributing to the device's overall durability and extending the possibility of 2D chemical imaging of organic semiconductor-based LAPS. This technique could ensure that organic materials to be utilized and maintained in LAPS structure and similar field-effect sensing devices for extended durations, thereby increasing their longevity and reliability.

AA-TuP-89 Effects of TiO2 Incorporation via Atomic Layer Deposition on Yttria-Stabilized Zirconia Electrolyte for Energy Storage
Jorge Luis Vazquez Arce (El Centro de Investigación Científica y de Educación Superior de Ensenada, Baja California); Carolina Bohórquez Martinez (UNAM); Amin Bahrami (Leibniz Institute for Solid State and Materials Research); Eduardo Blanco, Manuel Dominguez (Universidad de Cadiz); Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research); Gerardo Soto Herrera, Hugo Tiznado (UNAM)

Electrolyte material optimization is crucial for electrochemical energy storage devices. The specific composition and structure have an impact on conductivity and stability, both of which are essential for efficient device performance. The effects of controlled incorporation of TiO2 into Yttria-Stabilized Zirconia (YSZ) electrolyte using the atomic layer deposition (ALD) technique are investigated in this study. The surface chemical composition analysis reveals variations in the Ti oxidation state and a decrease in the O/(Zr+Y+Ti) ratio as TiO2 concentration increases. The formation of acceptor states near the valence band is proposed to reduce the bandgap with the Fermi Level. The structural properties indicate that as TiO2 concentration increases, surface homogeneity and crystallite size increase. The contact angle with water indicates a hydrophobic behavior influenced by surface morphology and potential oxygen vacancies. Finally, electrical properties, measured in Ru/TiO2-doped YSZ/Au capacitors operated at temperatures between 100 and 170 °C, showed that the TiO2 incorporation improved the ionic conductivity, decreased the activation energy for conductivity, and improved the capacitance of the cells. This study highlights the importance of the ALD technique in solid-state electrolyte engineering for specific applications such as energy storage devices.

View Supplemental Document (pdf)
AA-TuP-90 Dynamic Color Shifting of Green Organic Light-Emitting Diodes Utilizing Distributed Bragg Reflector Mirror Fabricated via Atomic Layer Deposition
Junbeom Song, Jian Cheng Bi (Korea University); Young Wook Park (Sun Moon University); Byeong-Kwon Ju (Korea University)

Organic Light-Emitting Diodes (OLEDs) have garnered considerable interest owing to their benefits such as easy manufacturing process, wide viewing angle, low unit price and energy consumption, and thin and flexible form factor compared to liquid crystal displays (LCDs). However, a notable drawback of blue OLEDs is their shorter lifetime compared to green or red OLEDs, primarily due to their higher energy consumption. To address this issue, researchers are exploring various methods involving both materials and structural modifications.

Among these approaches, there is ongoing research aimed at leveraging the longer lifespan and higher stability of green OLEDs to generate other colors. In this study, we focus on modeling and fabricating OLEDs using a distributed Bragg reflector (DBR) model, utilizing atomic layer deposition (ALD). DBR involves depositing alternating layers of high and low refractive index thin films, exploiting total internal reflection (TIR) at each interface to achieve high reflectivity. By using DBRs, composed of Al2O3 and TiO2, which exhibit high reflectivity due to their difference in refractive index, we could adjust the number of pairs to model specific spectral light.

Through comprehensive simulation, we verified that Alq3, a green, fluorescent material with a peak emission wavelength at 564 nm (Fig 1a), could effectively shift green to a blue component with a peak emission wavelength at 478 nm by employing a 2-pair DBRs (Fig 1b). Additionally, in Figure 2, we verified that reducing the full width at half maximum (FWHM) from 182 nm to 42 nm enables the extraction of a highly pure blue color. Based on these simulation results, we are conducting experimental design and characterization to ensure the fabrication of devices matching the simulated properties. The electroluminescence (EL) characteristics of the device without a DBR show a peak wavelength at 554 nm (Fig. 4), which is similar to the simulation results. This indicates that including a DBR in the device is expected to result in a shift towards the blue wavelength region. This research contributes to advancing the understanding and utilization of novel techniques in OLED technology, paving the way for enhanced performance and longevity in display applications.

View Supplemental Document (pdf)
AA-TuP-91 Ultrathin TiN/TiO2/Ti Solar Absorbers Enabled by Atomic Layer Deposition
Luca Mascaretti (Czech Technical University in Prague, Czech Republic); Alberto Naldoni (University of Turin); Ladislav Kalvoda, Ivan Richter (Czech Technical University in Prague, Czech Republic)

Solar-thermal conversion is an attractive strategy to collect sunlight and transform it into heat that is directly utilized or further transformed into electricity.(1) Depending on the specific route, solar absorber materials must be carefully designed to achieve the highest solar absorptance and to withstand severe thermal cycling. For example, metal-insulator-metal (MIM) metasurfaces based on titanium nitride (TiN) demonstrated nearly unitary absorption and stability under annealing and focused light irradiation.(2) In this contribution, we discuss a simple continuous and scalable MIM absorber based on TiN/TiO2/Ti. We first discuss the optimization of optical absorption by numerically computing optical spectra for different values of the TiN and TiO2 thickness in the ranges 0–35 nm and 0–75 nm, respectively. As a result, the optimized structure TiN (10 nm)/TiO2 (50 nm)/Ti exhibits ~ 60% optical absorption in the 250–2000 nm range, outperforming a counterpart based on Au. Such optimized structure is then experimentally realized by the atomic layer deposition (ALD) technique by using the TiCl4 precursor combined with H2O (thermal process for TiO2) and N2 plasma (for TiN). We further discuss additional effects that can additionally increase the optical absorption up to ~75%, such as surface oxidation of the ultrathin TiN layer, which affects its permittivity.(3) Therefore, the combination of such Ti-based materials allows the realization of a solar absorber without further need of surface patterning, highlighting the potential of ALD for solar energy applications.

(1) Romero, M.; Steinfeld, A. Concentrating Solar Thermal Power and Thermochemical Fuels. Energy Environ. Sci. 2012, 5, 9234–9245.
(2) Li, W.; Guler, U.; Kinsey, N.; Naik, G. V.; Boltasseva, A.; Guan, J.; Shalaev, V. M.; Kildishev, A. V. Refractory Plasmonics with Titanium Nitride: Broadband Metamaterial Absorber. Adv. Mater. 2014, 26, 7959–7965.
(3) Shah, D.; Catellani, A.; Reddy, H.; Kinsey, N.; Shalaev, V.; Boltasseva, A.; Calzolari, A. Controlling the Plasmonic Properties of Ultrathin TiN Films at the Atomic Level. ACS Photonics 2018, 5, 2816–2824.

AA-TuP-92 Simultaneous Enhancement in Performance and Stability of LSCF Air Electrode of Reversible Solid Oxide Cell by Atomic Layer Deposited CeO2 Coating
Sung Eun Jo, Kyoungjae Ju, Hyong June Kim, Woojin Park, Jihwan An (Pohang University of Science and Technology (POSTECH))

Atomic layer deposition(ALD) offers the ability to conformally coat intricate structures at atomic level. Widely adopted in the semiconductor industry, ALD stands out as a beneficial technique for enhancing the performance and durability of electrochemical devices(battery, fuel cells) Surface engineering via protective, catalytic nano-layer by ALD, durability and performance of electrochemical devices increases.

Reversible solid oxide cells(rSOSs) are energy conversion devices between chemical energy(hydrogen) and electrical energy, which operate both in fuel cell mode(solid oxide fuel cell : SOFC) and in electrolysis mode(solid oxide electrolysis cell : SOEC). rSOCs show high thermodynamic efficiency, fuel flexibility, and scalability; however, their high operation temperature, typically exceeding 800°c, causes rapid degradation at the electrode surface which is usually composed of perovskite materials. Particularly in rSOCs, the thermal degradation of air electrode by A-site dopant material(Sr) segregation on the surface of perovskite electrode(e.g. lanthanum strontium cobalt ferrite(LSCF)) and formation of an insulating secondary layer is dominant surface degradation mechanism.

In this research, the focus is on enhancing the performance and stabilization of LSCF air electrodes in rSOCs through ALD CeO2, which is well known for its exceptional ionic conductivity and surface exchange activity. Its potential for enhancing the oxygen evolution reaction(OER) and oxygen reduction reaction (ORR) in rSOCs has been investigated. Electrochemical characterizations were carried out to compare bare LSCF(without ALD) with ALD CeO2-coated electrodes. Interestingly, the initial electrochemical performance with ALD CeO2-coated(10nm) sample showed 30% increase in maximum power density on SOFC mode and 10% increase in current density in SOEC mode. During long-term rSOCs operation at 750°c for over 100 hrs, the thermal stability of the ALD CeO2-coated cell improved by 100% compared to the bare LSCF cell.

AA-TuP-93 Comparison of Thermal and Remote-Plasma ALD to Prepare Schottky Diodes to Gallium Nitride
Suzanne Mohney, Jacob Clark, Chan-Wen Chiu, Thomas Larrabee, Nathan Banner, Nicholas Redwing, Jennifer Gray (Penn State University)

We have explored the use of atomic layer deposition for creating Schottky diodes to n-type GaN, which is an important wide-bandgap semiconductor for power electronics. For high-temperature applications, we wanted to deposit a metallization that is unreactive with GaN. There are conductive metal nitride candidates in thermodynamic equilibrium with GaN, including Mo2N, [1] but we did not want to prepare layers by sputter deposition due to electrically active defects that can be introduced in the GaN by the plasma. Instead, we prepared diodes using thermal ALD and compared them to diodes we reported previously using ALD with a remote plasma. [1] With bis(tertbutylimido)bis(dimethylamino)molybdenum as the precursor, the co-reactants were NH3 or a remote N2-H2 plasma. Thermal ALD was performed with the stage at 250 °C and walls of a Lesker LX reactor at 200 °C using a stop-flow process, while the remote-plasma process was performed in a Veeco Gen II reactor at 200 °C. In both cases, the layers contained carbon, similar to other reports using this precursor, [2, 3] but fortunately Mo2N can dissolve MoC, [1] and the films were good conductors. Thermal ALD resulted in diodes with a Schottky barrier height of 0.69 eV and an ideality factor of 1.06 before annealing, while the remote-plasma process created non-ideal diodes that initially exhibited high reverse currents. After annealing in N2 at 600 °C, however, the diodes prepared by both methods offered similar Schottky barrier heights of 0.84 eV by thermal ALD and 0.87 eV by remote-plasma ALD. Moreover, the diodes prepared with the remote-plasma process were ideal after annealing. At room temperature, an ideality factor of 1.02 is expected due to image force lowering and was measured after annealing the diodes prepared using the remote-plasma process. After annealing the diodes prepared by thermal ALD, the ideality factor became 1.04. Cross-sectional transmission electron microscopy suggests a very thin interfacial layer in the diodes prepared by thermal ALD, which may be the origin of the slight non-ideality after annealing. The authors gratefully acknowledge the support of ONR through N00014-22-1-2462 (Approved, DCN# 543-1698-24).

[1] A. Molina et al., Appl. Phys. Lett. 119 102102 (2021)

[2] V. Miikkulainen et al., Chem. Mater. 19, 263 (2007)

[3] A. Bertuch et al., J. Vac. Sci. Technol. A 1 35 01B141 (2017)

AA-TuP-94 Y2O3 Thin Film Deposition Via Atomic Layer Deposition Utilizing Y(EtCp)2(iPr-amd)And O3
Seunghwa Choi (Sungkyunkwan University (SKKU))

These days there are many microstructures in semiconductor equipment. Especially equipment using plasma-enhanced deposition has narrow and complicated structure peripheral parts. These parts need to be stable with plasma damage. So, these parts need to be coated with material, which can protect the parts from plasma damage. Y2O3 is well-known for plasma passivation material. In this study, a study was conducted to coat Y2O3 thin films with atomic layer deposition (ALD) method for this purpose. Since the structure of the parts used in semiconductor processing equipment is becoming more complex, ALD is the most suitable method for uniformly coating parts that have complex structures. Y2O3 ALD thin films were deposited using Y(EtCp)2(iPr-amd) for Y precursor, and ozone for oxygen. The material characteristics and plasma stability of the deposited Y2O3 thin films were evaluated.

AA-TuP-95 Microstructure and Environmental Stability of Plasma-Enhanced ALD TiO2/SiO2 Multilayer Anti-Reflective Films on PMMA Substrates
Duy Thanh Cu, Jia-Lun Ho (Department of Optics and Photonics, National Central University); Wen-Hao Cho, Chi-Chung Kei (Taiwan Instrument Research Institute, National Applied Research Laboratories); Chien-Cheng Kuo (Department of Optics and Photonics, National Central University)

In this study, plasma-enhanced atomic layer deposition (PE-ALD) technology was used to coat an anti-reflective (AR) film on a PMMA substrate using TDMAT and 3DMAS precursors for TiO2 and SiO2 films, respectively, at a low temperature of 70°C. The plasma mode introduced a mix of oxygen and argon for oxidation. The refractive index (n) and extinction coefficient (k) trends in the single-layer film were examined to determine optimal process parameters and conditions. Due to the soft nature of the plastic substrate, a 50-watt setting was chosen for AR film deposition to avoid substrate surface damage and crack formation caused by ion bombardment at higher power settings.

The structures of single and multi-layer films were analyzed using various measurement instruments. X-ray diffraction confirmed the composition of the TiO2 film structure, revealing an increase in crystallization strength from 82 to 117 as the number of ALD cycles (indicative of film thickness) increased from 200 to 1200. Atomic force microscopy showed a film surface roughness of approximately 0.28 nm, indicating a notably flat surface and a microcrystalline state for the single-layer TiO2 film. Transmission electron microscopy verified that the multi-layer film structure matched the layer count predicted by Macleod simulation software.

Incorporating an inhibition layer within the nano-composite layer of a single film can effectively reduce the crystallization phenomenon and subsequently lower the stress on the film. The film's changes and durability under harsh conditions (85°C and 85% humidity) were observed using a constant temperature and humidity machine. AR films without the inhibition layer lasted up to 998 hours, while those with four inserted layers of 1.5nm SiO2 only persisted for 209 hours. The results suggest that increasing the number of insertion layers does not significantly improve the stress on TiO2. Instead, the thickness of TiO2 decreases, causing the film to become less dense and more susceptible to erosion by moisture in high humidity conditions. In contrast, the relatively thicker TiO2 film in non-inserted layers is denser, resulting in a lower water vapor transmission rate (WVTR) and better durability for the AR film. View Supplemental Document (pdf)
AA-TuP-96 Optimization of Atomic Layer Deposition Process for Tin Oxide Thin Films at Low Deposition Temperatures for Halide Perovskite Solar Cells
Saurabh Gupta, Laxmi Laxmi, Ananta Paul, Venkatesh G. Chityala, Suryanarayan Nayak, Manas Misra, Dinesh Kabra (Indian Institute of Technology Bombay)

Tin oxide (SnOX) thin film, having properties such as high transparency, conductivity, higher electron mobility, band alignment with halide perovskite materials, and high mass density has potential to be employed in optoelectronic devices. Halide perovskite solar cells (PSCs) with high power conversion efficiency and low-cost of fabrication are promising candidates for next-generation tandem photovoltaics. However, tandem configuration requires to deposit sputtered transparent electrodes. This sputtering process damages the underneath organic and perovskite layers. Atomic layer deposited SnOX thin film is capable to protect the damages and is compatible with electron transport layers for NIR-transparent PSCs. Thin films via Atomic Layer Deposition (ALD) process are pin-hole free, dense, uniform, conformal and deposited at lower temperatures. This study focuses on the optimization of ALD recipe parameters for the deposition of SnOX thin films at low temperatures.This work investigates the effects of deposition temperature, precursor exposures, and purge times on the growth behaviors and on structural, optical, and electrical properties of SnOX thin films. Our ~20 nm SnOX films are having high average transmittance (>94.8 %), varied refractive index (1.78 to 1.95), negligible extinction coefficient, stoichiometric ratio of O/Sn (1.69 to 1.95), and mass density (>5.6 gm/cm3) are suitable to be employed as functional layers in NIR-transparent PSCs. We successively applied the optimized SnOX film in NIR-transparent PSCs as a protecting layer. We further explored annealing treatment of these films which enhances transmission (>95.6 %) and resistivity (min. 1.79E-2 Ohm-cm) properties. These enhanced-quality films show potential as other forms of functional layers in optoelectronic devices.

View Supplemental Document (pdf)
AA-TuP-97 Atomic Layer Deposition for Proton-exchange Membrane Water Electrolyzers
Bhavesh Chavan, Athina Tzavara Roussi, Volkert van Steijn, Ruud Kortlever, Ruud van Ommen (Delft University of Technology)

Proton-exchange membrane (PEM) water electrolyzers represent the forefront of hydrogen production through water electrolysis. However, they heavily rely on platinum group catalysts and titanium structures, which constitute a significant portion of the total electrolyzer costs Babic et al.(2017). The emergence of atomic layer deposition (ALD) technology offers a promising solution to address these challenges by providing precise control over film thickness, maximal material utilization, and excellent conformality.

In this poster, we explore the versatile applications of ALD in both catalyst coated membrane (CCM) and porous transport electrode (PTE) configurations. In CCM, platinum group catalysts can be efficiently coated on carbon powder using fluidized bed reactor ALD (FBR-ALD) and subsequently applied to membranes Lee et al.(2020). In PTE, ultrahigh mass activities are achieved by atomically depositing Pt and Ir nanoclusters on titanium and graphite felts for anode and cathode sides, respectively Laube et al.(2021). Moreover, porous transport layers and bipolar plates, typically made from titanium and stainless steel, are coated with ultrafine Pt, Au, or nitride coatings to withstand high acidic and oxidation potentials Woo-Lee et al.(2020). Additionally, ALD is explored for fabricating support materials and providing porous and protective coatings in the form of oxides and metal- organic frameworks (MOFs) and so on Li et al.(2024); Xiao et al.(2024).

This poster will comprehensively discuss various literature studies and propose the best possible options for utilizing ALD in fabricating various electrolyzer components. Such advancements highlight the potential of ALD in revolutionizing the efficiency, cost-effectiveness, and durability of PEM water electrolyzers, thus paving the way for scalable hydrogen production with sustainability.

References:
Babic U., Suermann M. et al. (2017). Journal of the Electrochemical Society, 164(4), F387–F399.

Laube A., Hofer A. et al. (2021). International Journal of Hydrogen Energy, 46(79), 38972–38982.

Lee W., Bera S. et al. (2020). NPG Asia Materials, 12(40).

Li M., Saedy S. et al. (2024). Catalysis Science Technology, 14, 1328–1335.

Woo-Lee J., Yun E.-Y. et al. (2020). Applied Surface Science, 519, 146215.

Xiao Z., Wang J. et al. (2024). ACS Applied Energy Materials, 7(8), 3406–3413.

AA-TuP-98 Mitigating Competing Reactions: Atomic Layer Deposition of Ultrathin Overlayers for Anode Protection in Direct Seawater Electrolysis
Katherine Encalada, J. Ruud van Ommen, Ruud Kortlever (Delft University of Technology)

Direct seawater electrolysis is a promising technology for green hydrogen generation. Nonetheless, technical challenges arise due to seawater's complex chemical composition. Specifically in acidic media, the problematic competition at the anode between the undesired chlorine evolution reaction (CER) and the targeted oxygen evolution reaction (OER). Previous studies showed that permeable overlayers like MnOx and SiOx can selectively suppress the CER. However, the overlayer´s liquid deposition techniques resulted in non-uniform thickness and poor stability 1,2. This work explores the effectiveness of an ALD-deposited SiO2 overlayer on a GC-supported IrO2 catalyst to suppress competing reactions at the anode during water electrolysis in an acidic chloride-containing electrolyte.

The methodology to quantify the competing OER vs. CER consists of a Rotating Ring Disk Electrode (RRDE) setup that simultaneously measures the oxidation (disk) and reduction (ring) currents within a potential range. Both competing reactions are promoted at the disk (IrO2|GC) by sweeping the potential from 1,1 V to 1,55 V vs RHE. In this first step, water molecules and chloride ions oxidize, forming O2(g) and Cl2(g). The second simultaneous step involves reducing at the ring (Pt) the previously formed Cl2(g), for which the ring is kept at 1,09V vs. RHE. This way, measuring how much Cl2(g) evolved at the disk is possible. The SiO2 overlayer was built by atmospheric ALD using SiCl4 and water as reactants at 100 °C reaction temperature. Comparative measurements were conducted with and without the SiO2 overlayer on the IrO2|GC catalyst.

The preliminary results show that a thin layer of 25 cycles of SiO2 by ALD gives a promising suppression of CER over OER. However, after subjecting the system to 20 cyclic voltammograms, the electrochemical behavior of the anode reverts to the one obtained with bare IrO2. This observation suggests a SiO2 overlayer's instability, presumably arising from a physical detachment of the overlayer from the substrate. Despite this setback, some strategies to improve the anchoring of the coating are to be yet explored, like pre-activation of the substrate or the usage of more reactive precursors like O3.

References

1) Vos, J. G.; Wezendonk, T. A.; Jeremiasse, A. W.; Koper, M. T. M. MnOx/IrOx as Selective Oxygen Evolution Electrocatalyst in Acidic Chloride Solution. J. Am. Chem. Soc. 2018, 140(32), 10270–10281

2) Bhardwaj, A. A.; Vos, J. G.; Beatty, M. E. S.; Baxter, A. F.; Koper, M. T. M.; Yip, N. Y.; Esposito, D. V. Ultrathin Silicon Oxide Overlayers Enable Selective Oxygen Evolution from Acidic and Unbuffered pH-Neutral Seawater. ACS Catal. 2021, 11(3), 1316–1330

AA-TuP-99 Monolayer Engineering and Novel Annealing Techniques to Enhance the Ferroelectricity of HfO2-Based Thin Films with a Low Thermal Budget
Ting-Yun Wang, Chi-Lin Mo, Chun-Ho Chuang, Yu-Tung Yin, Miin-Jang Chen (National Taiwan University)
HfO2-based ferroelectric thin films have emerged as promising materials for a wide range of applications, including non-volatile memory and neuromorphic computing. Consequently, intensive research efforts have been made to develop and optimize various film compositions and processing techniques to enhance their ferroelectric properties. However, a notable challenge is the high annealing temperature requirement for HfO2-based ferroelectric thin films, which conflicts with the low thermal budget constraints (<400oC) of the back-end-of-line process in advanced semiconductor technology nodes. In this study, we present two novel approaches to overcome this limitation and enable pronounced ferroelectric performance of HfO2-based thin films with a low thermal budget. The first approach introduces the concept of “monolayer engineering” by exploiting the layer-by-layer growth of atomic layer deposition (ALD). A significant remnant polarization (2Pr) ~ 30 μC/cm2 was achieved in ~ 4 nm thick Hf0.5Zr0.5O2 thin films by replacing the HfO2 monolayer with the ZrO2 monolayer in the vicinity of the bottom electrode. In particular, the sub-5 nm Hf0.5Zr0.5O2 thin films exhibited pronounced ferroelectric behavior in their pristine state, even at the relatively low annealing temperature of 370oC. This is noteworthy because it is typically difficult to achieve ferroelectricity in HfO2-based thin films with thicknesses below 5 nm. This result highlights the distinguished capability of monolayer engineering to precisely tailor materials at the atomic scale, leading to exceptional functional properties in nanoscale ultrathin films. In addition, the second technique involves the development of “large-area electron beam annealing (EBA)” to obtain highly crystalline Al-doped HfO2 (HAO) thin films deposited by ALD. The EBA treatment produces a highly localized annealing region within approximately 20 nm below the sample surface, which effectively mitigates the inherent thermal budget constraints imposed by the high annealing temperatures typically required for HAO thin films. As a result, an impressive ferroelectricity of 2Pr ~ 29 μC/cm2 and high crystallinity of the orthorhombic phase were achieved in HAO thin films by EBA with a low thermal budget. In summary, both techniques provide innovative ways to achieve significant ferroelectric performance of nanoscale HfO2-based thin films with low thermal budgets.
AA-TuP-100 2D Transition Metal Chalcogenide Films Synthesized by ALD as Diffusion Barriers in Interconnects
Sanne Deijkers, Harvey Thepass, Arthur de Jong (Eindhoven University of Technology, The Netherlands); Hessel Sprey, Jan Willem Maes (ASM); Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, The Netherlands)

For the continuous miniaturization of nanoelectronics, the conventional TaN/Ta barrier, preventing Cu diffusion in interconnect structures, needs to be scaled down below the current thickness limit of 3 nm1. Replacing the conventional barrier with a two-dimensional transition metal chalcogenide (2D-TMC) offers the opportunity to reduce the thickness of the barrier. Atomic layer deposition (ALD) provides the conformality and suitable process temperatures required for back-end-of-line application of the 2D films. In this work we will demonstrate the performance of various 2D-TMCs synthesized by ALD as Cu diffusion barrier.

Previously, we showed that ALD-MoS­­2 of various thicknesses is an effective Cu diffusion barrier2. In addition, other 2D-TMCs deposited by ALD, such as WS2, TaSx and NbS2, were tested by time-dependent dielectric breakdown measurements. These materials show differences in barrier performance. Highly crystalline MoS2, specifically, showed superior blocking with respect to the other TMC films, as the median time to failure is (3.2±0.1)∙103 s for 2.2 nm MoS2 versus (5.3±0.1)∙102 s for 10 nm TaS2. All 2D-TMC films deposited by ALD result in relatively small grains (~10 nm)3. However, the degree of crystallinity differs per film as it is strongly affected by the process conditions, mainly by temperature and plasma composition (e.g., H2S/H2 flow ratio). Furthermore, some of the films show out-of-plane oriented growth besides the in-plane crystal growth, resulting in a mixed morphology. The combination of grain size, degree of crystallinity and morphology leads to different barrier performances, where a higher degree of crystallinity generally results in better Cu diffusion blocking.

References

  1. Lo, C. L. et al. Opportunities and challenges of 2D materials in back-end-of-line interconnect scaling. J. Appl. Phys. 128, (2020).
  2. Deijkers, J. (Sanne) H. et al. MoS2 Synthesized by Atomic Layer Deposition as Cu Diffusion Barrier. Adv. Mater. Interfaces 4–9 (2023)
  3. Sharma, A. et al. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: Large area, thickness control and tuneable morphology. Nanoscale 10, 8615–8627 (2018).
View Supplemental Document (pdf)
AA-TuP-101 Rational Design of a Full Plasma-Enhanced ALD Super-Cycle Process for Indium Gallium Zinc Oxide Based on in-Situ Characterization
Paul Plate (Sentech Instruments GmbH); Carlos Morales, Ali Mahmoodinezhad (BTU Cottbus); Ludwig Marth, Bodo Kalkofen (Sentech Instruments GmbH); Marvin Hartwig Zoellner (IHP - Leibniz Institut fuer innovative Mikroelektronik); Karsten Henkel, Jan Ingo Flege (BTU Cottbus)

Transparent conducting oxides are a promising materials class for applications in the field of photovoltaics and thin film transistors. For the latter, indium gallium zinc oxide (IGZO) can be an enabler for the next generation of flexible electron devices and organic light-emitting diode displays due to its high optical transparency and electron mobility. In the past, IGZO was usually deposited by radio-frequency magnetron sputtering, solution processing, and pulsed laser deposition. More recently, atomic layer deposition (ALD) has shown the potential to overcome limitations of the other deposition methods allowing low-temperature processing and uniform depositions on 3D structures.

This work presents a bottom-up approach for the deposition of IGZO layers by a super-cycle ALD process using a SENTECH plasma-enhanced ALD (PEALD) reactor. Initially, a super cycle combining a thermal process (TALD) for zinc oxide (ZnO) and plasma-enhanced processes for gallium and indium oxide (Ga2O3, In2O3) was developed. The growth mechanisms of the individual processes within the super-cycle have been thoroughly investigated and monitored by in-situ ellipsometry (i-SE, SENTECH ALD Real-Time-Monitor). A nucleation delay for the thermal ZnO process was found, making it challenging to properly adjust the elemental composition by the sub-cycle ratio. Hence, the thermal ZnO cycle has been replaced by a plasma-enhanced ZnO process, which shows no nucleation delay, thus enabling a full PEALD super-cycle at low temperature (150°C).

X-ray photoelectron spectroscopy, grazing-incidence X-ray diffraction, and scanning electron microscopy in combination with energy-dispersive X-ray fluorescence analysis were used to investigate the elemental composition and morphology of the ALD films. Our results demonstrate that the elemental composition can indeed be precisely adjusted by varying the sub-cycle ratio within the super-cycle. Furthermore, metal/insulator/semiconductor (MIS) layer stacks were built to measure the electrical performance of the oxide films. This showed that the conductivity of films prepared using the full PEALD super-cycle is significantly higher than that of the layers deposited by the mixed TALD/PEALD process.

Therefore, this approach allows the preparation of ultra-thin IGZO layers with controlled thickness, composition, and electrical properties, while thermally induced segregation is largely prevented.

AA-TuP-102 Fabrication of ZnO Metal-Semiconductor-Metal Photodetectors on Cotton via Thermal-ALD
Habeeb Mousa, Heba Saleh, Louis V. Antoine, Jacques Goosen, Fatih Bayansal (University of Connecticut); Mahmoud Aboelkheir, Tamer Uyar (Cornell University); Ali K. Okyay (OkyayTechALD); Necmi Biyikli (University of Connecticut)

Studies on electronic textiles have continued to increase in recent years and some applications have begun to find a place in daily life. Various flexible devices fabricated on textiles have been developed, including sensors, solar cells, and energy storage devices. In particular, wearable photodetectors (PDs) have attracted the attention of researchers due to their possible contributions to security, health, and communication applications. Zinc Oxide (ZnO) is one of the most suitable materials for textile-based PDs due to its wide bandgap, stability under long-term light exposure, and high sensitivity to UV/visible radiation. However, integrating thin film devices on textiles often affects their mechanical properties such as flexibility, durability, and washability. This work presents an approach that leverages low-temperature atomic layer deposition (ALD) of ZnO on cotton to achieve flexible PDs while preserving the inherent properties of cotton.

ZnO was deposited on cotton (woven bleached, 98 gsm) substrates using diethylzinc (DEZ) and H2O as Zn precursor and co-reactant respectively in a thermal ALD reactor at 120 °C. The unit ALD cycle in which 20 sccm N2 is used as the carrier gas consists of 0.5s DEZ pulse, 30s purge, 0.5s H2O pulse, 30s purge steps. Following the deposition of ZnO layers on cotton, interdigitated electrodes consisting of 25/150 nm Ti/Al layers were evaporated by e-beam deposition to create the metal-semiconductor-metal (MSM) structures.

The resulting ZnO films on cotton are characterized in terms of their structural, morphological, compositional, and photo-response properties. X-ray diffraction analysis revealed the polycrystalline nature of the as-grown ZnO layer on cotton. SEM and EDX analyses showed that ZnO is uniformly synthesized on cotton. The photo-response characteristics of the fabricated MSM-PD device structures were examined by placing a visible light source at a distance of 30 mm. The bias voltage was scanned from –1 to 1V in a 50-mV step under dark and illuminated conditions. The resulting photo-current at 1V bias showed ~2.5-fold increase when compared to dark current (from 57 to 130 μA). Our study displays an effective ZnO-based photodetector on cotton at low bias voltages highlighting the potential for low-power wearable sensing applications. Future studies could focus on further characterizing the spectral photo-response under various environmental conditions and optimizing the device architecture by exploring different doping strategies, or composite structures that can enhance light absorption.

View Supplemental Document (pdf)
AA-TuP-103 Enhancing Charge Trapping Performance of Hafnia Thin Films by using Sequential Plasma Atomic Layer Deposition
SoWon Kim, JaeHoon Yu, WonJi Park, HeeChul Lee (Tech University of Korea)

HfO2, as a high-k dielectric material, holds promise for replacing silicon nitride-based charge trapping layers (CTL) in traditional NAND flash memory. This is due to its high trap densities, significant conduction band offset with respect to the tunneling oxide (TO), and thin equivalent oxide thickness (EOT).

The Direct Plasma (DP) ALD process offers advantages such as higher film density and lower process temperatures compared to thermal ALD processes. However, because the plasma discharge happens within the chamber, it risks damaging the substrate through ion bombardment, potentially degrading device characteristics.

In Remote Plasma (RP) ALD, the plasma discharge occurs in a separate space, with thin film progress achieved by supplying radicals. However, it suffers from low productivity due to longer cycle times. By carefully considering the pros and cons of both plasmas, it is possible to mitigate device damage and cycle time simultaneously.

This study employed a Sequential Plasma ALD process to deposit HfO2 films. Sequential RP and DP-ALD processes were utilized, allowing for thickness variation in each layer during deposition. Thin films of HfO2 deposited by RP-ALD on the substrate ranged from 0 nm to 7 nm, forming a total of 10 nm HfO2 thin films. Additionally, a Charge Trapping Memory (CTM) with an Au/Al2O3/HfO2/SiO2/p-Si (MAHOS) structure was fabricated using the deposited film to analyze RP-ALD thickness effects and assess memory operational characteristics degradation.

The HfO2 film with a 4-nm-thick RP-ALD exhibited a significant memory window of over 7 V across a gate voltage range of ± 10 V, along with a relatively low interface trap site of 1.3×1012 eV-1cm-2 or less. As the thickness of the RP-ALD-deposited HfO2 increased, both memory window and time-dependent dielectric breakdown (TDDB) endurance improved, while interface trap sites and VFB(flat band voltage) shift with read/write repetition decreased.

In conclusion, the methodology proposed in this study is promising for future implementations of multi-level charge-storage nonvolatile memories or synaptic devices requiring numerous states. View Supplemental Document (pdf)
AA-TuP-104 Atomic Layer Deposition of Self-Healing Protective Coatings for Stone Cultural Heritage Conservation
Aranzazu Sierra Fernández (CIC nanoGUNE, Donostia-San Sebastián, Spain); Mato Knez (CIC nanoGUNE, Donostia-San Sebastián and IKERBASQUE, Basque Foundation for Science)

The development of protective coatings for the construction industry and cultural heritage (CH) applications with combined strength, toughness, and compatibility remains a long-standing challenge. Applying a hybrid coating system to building components before the onset of severe damage could effectively increase longevity and reduce maintenance demand. This study introduces a novel self-healing coating, deposited via Atomic Layer Deposition (ALD), to advance the protection of porous stone substrates. The ALD layers incorporated metal oxides that trigger a self-healing mechanism, including passivation processes aimed at autonomously repairing micro-damages, thusextending the durability of the material.The ALD process was precisely tailored to facilitate the formation of metaloxide interfaces,whichwere key to the self-healing functionality. A comprehensive evaluation of their mechanical properties on stone substrates is presented to validate the self-healing capabilities of the coatings after exposure to simulated environmental conditions such as variations in relative humidity, temperature, and UV light. This characterization approach involved both nanoindentation, assessing the hardness and elastic modulus at the nanoscale, and microindentation, determining the mechanical integrity at a larger scale. This method enabled us to capture the responses of the coatings to external mechanical stimuli across different scales, thereby providing detailed insights into the effectiveness of the self-healing process. Preliminary results indicate a promising enhancement in the resistance of the coatings to mechanical stresses and microfracture propagation. Through meticulous design and characterization, this research endeavors to develop a self-healing coating system that not only protects, but actively maintains the integrity of CH stone materials. The implementation of such technology stands to redefine conservation methodologies, offering a sustainable and efficient approach to CH preservation.

Session Abstract Book
(793KB, Jun 24, 2024)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule