ALD2023 Session AF-MoP: ALD Fundamentals Poster Session

Monday, July 24, 2023 5:45 PM in Evergreen Ballroom & Foyer

Monday Evening

Session Abstract Book
(545KB, Jul 29, 2023)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2023 Schedule

AF-MoP-2 Atomic Layer Deposition of hfo2 Thin Film Using a Novel Heteroleptic Ethylenediamine Based Hf Precursor
Choel Wan Park, Esssul Shin, Eunjeong Cho, HyunKee Kim, Ki-yeung Mun, Kyung-Sik Lee, Jung Woo Park (Hansol Chemical Co., Ltd.)

Group 4 metal oxide materials such as ZrO2, TiO2, and HfO2 have attracted considerable attention for dielectric materials for microelectronic devices. HfO2 film has an outstanding high-dielectric constant (κ ~ 20-25, t-HfO2) , large band gap Energy (Eg, ~ 6.0 ev) and good thermal stability. For these reason, the HfO2 thin film applicate microelectronic devices such as the dynamic random access memory (DRAM) capacitors and central processing memory (CPU) gate dielectric application.

In this study, we propose a novel heteroleptic ethylendiamine based Hf precursor namely HEA. The physical characteristic of HEA was investigated by NMR Spectroscopy. Also, we measure the properties of the HfO2 thin film of HEA against usually usedCpTDMAH by thermal atomic layer deposition (TALD).

The evaporation characteristics of HEA and CpTDMAH were carried out in a thermogravimetric analysis (TGA). The amount of residue was about < 0.45 % for HEA, which had a less residue compared to CpTDMAH (< 0.98 %). For both precursors HEA and CpTDMAH, the characteristic self-limiting ALD growth mode was confirmed. The growth rate of HEA was 1.19 Å/cycle with ozone as a reactant gas and showed a Low temperature ALD window in a range of 150–250℃.

HfO2 thin film properties were investigated by SIMS depth profile and Transmission electron microscope (TEM). The deposited film of HEA represented better step coverage and improved carbon impurity compared to that of CpTDMAH. From this study, The HEA is expected to be advantageous precursor for low temperature thin film deposition technique.

View Supplemental Document (pdf)
AF-MoP-4 Al Precursor with Low Growth Rate for Conformal Al2O3 Thin Film
Kyuhyun Yeom, Hyunkyung Lee, Kiyeung Mun, Dae Won Ryu, Jang Hyeon Seok (Hansol chemical)

Al2O3 thin film is variously used for an encapsulation layers of display, blocking layers of NAND, and capacitor dielectric of dynamic random access memory(DRAM).

Trimethylaluminium(TMA) that highly reactive is usually used to make Al2O3 thin film. Howerver, TMA has pyrophoric which leads to difficult handling and non-safety.

In addition, it has a high growth per cycle(GPC), which is not pappropriate to the micro process such as the ZrO2/Al2O3/ZrO2(ZAZ) process of DRAM dielectric need to precise controllable deposition.

We designed an Al precursor that has non-pyrophoric and a low GPC compared to TMA. Al2O3 was deposited on SiO2, and ozone was used as a reactant gas.

The source and reactant gas showed self-saturation, respectively, and a wide and flat ALD range of 100-340°C was shown.

GPC had about 65-70% of TMA. In addition, Al2O3 thin film properties we examined such as XPS, XRR, and TEM.

AF-MoP-5 Low-Temperature HfO2 Gate Dielectric for Topological Insulator Devices
Pragya Shekhar, Saquib Shamim, Volkmar Hock (Physikalisches Institut (EP3) and Institute for Topological Insulators, Universität Würzburg, Germany); Hartmut Buhmann, Johannes Kleinlein (Physikalisches Institut and Institute for Topological Insulators, Universität Würzburg, Germany); Laurens W. Molenkamp (Physikalisches Institut (EP3) and Institute for Topological Insulators, Universität Würzburg, Germany)

On this poster, we present details of our low-temperature (30 °C) hafnium oxide atomic layer deposition (ALD) process [1]. We apply the layers as gate dielectrics in devices of the thermally sensitive topological insulator HgTe [2,3]. The gate structures are used to tune the charge carrier density in the HgTe quantum well. For the hafnium oxide deposition, we utilize a home-made reactor and TDMAH and water as precursor and reactant, respectively. Due to the low deposition temperature, the films can be patterned by lift-off processes. Here, we present the layout of our home-made reactor and a schematic of the complete ALD system. Furthermore, we show results of our investigations on the homogeneity of the hafnium oxide layer thickness over the whole sample stage area, as well as on long-term reproducibility. We provide details of our gate fabrication process, following a self-aligned lift-off process: Both the dielectric and the electrode are deposited successively using a single resist mask. We use standard photoresist technology for device dimensions in the micrometer range, and PMMA and electron beam lithography for nanostructure devices. Finally, we exemplary show applications of low-temperature hafnium oxide gate insulators in micro- and nanostructure devices, such as quantum spin hall effect devices [4], HgTe-based superconducting devices [5], and quantum point contact devices [6]. We analyze the gate performance in these devices, as well as leakage currents and gate hysteresis.

[1] Shekhar et. al, ACS Appl. Mater. Inter. 14, 33960 (2022)

[2] Koenig et. al, Science 318, 766 (2007)

[3] Roth et. al, Science 325, 294 (2009)

[4] Bendias et. al, Nano Lett. 18, 4831 (2018)

[5] Bocquillon et. al, Nat. Nanotechnol 12, 137 (2017)

[6] Strunz et. al, Nat. Phys. 16, 83 (2019)

AF-MoP-6 Silicon Nitride ALD Process Using High Purity Hydrazine for Low Temperature Deposition
Hayato Murata, Yasuhito Koda, Yoshifumi Wada, Takashi Kameoka (Taiyo Nippon Sanso Corporation); Jeff Spiegelman (RASIRC); Nobuyasu Tomita (Taiyo Nippon Sanso Corporation)

High quality ALD silicon nitride (SiN) at low temperature is required for advanced device structure complexity. High purity hydrazine (N2H4) is a promising nitrogen source for low temperature ALD nitride process due to its high reactivity. We have reported various advantages of N2H4 for titanium nitride (TiN) film ALD process over the conventional ammonia (NH3) process [1-2]. In this study, we found that N2H4, comparing to NH3, was capable to have high quality SiN film at 550°C by ALD processing with dichlorosilane (DCS, SiH2Cl2). This result shows N2H4 instead of NH3 has potential to be new nitrogen source for state-of-the-art devices.

In such low temperature region, purity of source is very sensitive for film quality. We used N2H4 of BRUTE Hydrazine (RASIRC, Inc.) which enabled safe delivery of high-purity N2H4 gas. SiN ALD process was experimentally evaluated by delivering DCS/N2H4 or DCS/NH3 to a hot-wall tubular reactor. ALD SiN films using DCS/N2H4 were formed at 550-650°C.

GPCs (growth per cycle) in DCS/N2H4 ALD were found to be 0.49-1.27 Å/cycle while those in DCS/NH3 ALD were 0.10-1.02 Å/cycle at 550-650°C. These results indicate that N2H4 could be available to further enhancement in throughput. In addition, refractive index (R.I.) of DCS/N2H4 film was about 1.90 at 550°C while R.I. of typical SiN was about 1.9-2.1. In contrast, R.I. of DCS/NH3 film formed at 550°C was under 1.50 likely due to the formation of silicon oxide whose R.I. is around 1.45. Moreover, WER in dilute hydrofluoric acid (100:1 HF) of DCS/N2H4 film was 14.1 Å/cycle at 550°C. On the other hand, WER of DCS/NH3 film formed at 550°C was twenty times higher (303.7 Å/cycle) compared with that of DCS/N2H4. These results indicate that N2H4 as higher reactive nitrogen source has an effect on SiN film quality at lower temperature.

In order to investigate reactivity of N2H4, activation energies (Ea) for nitriding reaction to the DCS chemisorption surface structure were calculated. The quantum chemical calculation was performed by B3LYP density functional with cc-pVDZ basis set. The Ea of N2H4 reaction with the DCS chemisorption structure was 31 kJ/mol while that of NH3 reaction was 60 kJ/mol. These results indicate N2H4 is a higher reactive nitrogen source for surface than NH3.

Thus, we concluded that N2H4 is very promising nitrogen source for ALD with high reactivity at low temperature and that N2H4 is practical option for ALD process development to be satisfied with both throughput and SiN film quality.

[1] D. Alvarez et al., PRiME2020, G02-1668 (2020).

[2] H. Murata et al., ALD/ALE2021, AF301 (2021).

View Supplemental Document (pdf)
AF-MoP-7 ALD Precursor Design for Post-Transition Metal Films
Atsushi Sakurai, Naoki Yamada, Tomoharu Yoshino, Akihiro Nishida, Masako Hatase, Masaki Enzu, Atsushi Yamashita, Yoshiki Ooe, Chiaki Mitsui (ADEKA CORPORATION)

This presentation will share the basic chemistry of potential ALD precursors in relation to the post-transition metals such as Zn, Ga, In, Sn, Pb, Sb and Bi. Most of those metals take p-block configurations and prefer low oxidation state from 2+ to 4+. Consequently, their ALD precursor and process design should be different from the early and late transition metals which have been very well investigated. For example, many organometallic compounds (with metal-carbon bonds) with post-transition metals could be isolated, stable and volatile even with simple and small ligand design, whereas early and late transition metal (d-block) ones do not have enough thermal stability for ALD use. Also, we need to recognize that some of those compounds are pyrophoric (e.g. trimethylgallium, diethylzinc) and environmentally restricted due to adverse influence on human body (e.g. tetraethyllead). However, we can also say that metal amides (metal-nitrogen bond) with post-transition metals are not always stable. Zn bis(dialkylamide), In tris(dialkylamide), Pb bis(trimethylsilylamide) and Bi tris(dialkylamide) are thermally very unstable, whereas Ga tris(dimethylamide), Sn tetrakis(dialkylamide) and Sb tris(dialkylamide) are reasonably stable so as to be considered as ALD precursor candidates.

We will summarize the basic precursor data (TGA, DSC, etc.) of post-transition metal ALD precursors and will discuss the chemistry driving ligand selection leading to attractive vapor pressure, thermal stability and ALD reactivity.

AF-MoP-8 Correlating In-Situ Photoluminescence and Ellipsometry: A Novel approach to Analyze and Optimize ALD Materials for Photovoltaic Applications
Nao HARADA, Alexandra LEVTCHENKO (IPVF); Damien COUTANCIER (CNRS); Frederique DONSANTI (IPVF); Jean-François GUILLEMOLES (CNRS, France); Daniel SUCHET (Ecole Polytechnique - CNRS, France); Géraud DELPORT, Nathanaelle SCHNEIDER (CNRS, France)
For the last decades, Atomic Layer Deposition (ALD) has undoubtedly become a key technique to deposit thin films in various research fields. As the deposition is sequential and self-limited, a high control over the films’ thickness can be reached together with a high conformality. Moreover, the deposition can be done at low temperatures (below 100 °C) and allows the growth of a large panel of materials on different substrates. In the field of PV, ALD films are already used at an industrial scale (for instance in PERC solar cells) but their use also extends to buffer layers for CIGS cells, transparent conductive oxides (TCO), passivation or charge transport layers (ETL & HTL) for perovskite solar cells … [1]

In-situ ellipsometry together with photoluminescence (PL) were considered as relevant techniques to correlate film’s growth properties and its functionalization. Indeed, by acquiring Spectroscopic Ellipsometry (SE) data, the film’s thickness and optical constants are addressed during the growth [2], while its function is determined by analysing PL spectra or PL decays (by Time Resolved Photoluminescence TRPL) [3]. While in-situ SE is commonly used during ALD growth, only one example of in-situ PL has been developed to our knowledge and none combines the two techniques [4], making our approach original. In-situ characterizations would also be very useful for pre-industrialization, by reducing the number of samples required to totally take advantages of ALD specificities and generate highly performant devices. This presentation will introduce our experimental set-up in more details, as well as some first analysis results on the growth of ALD thin films on solar cells correlating SE and PL measurements (Fig. 1).

  1. "Atomic Layer Deposition (ALD). Principes Généraux, matériaux et applications" Ouvrage spécial desTechniques de l’Ingénieur : Principes et applications de la technique ALD (Atomic Layer Deposition)
  2. Langereis, E. et al.J. Phys. Appl. Phys.42, 073001 (2009).
  3. Unold, T. & Gütay, L. in Advanced Characterization Techniques for Thin Film Solar Cells -275–297.
  4. Kuhs, J. et al.ACS Appl. Mater. Interfaces11, 26277–26287 (2019).
View Supplemental Document (pdf)
AF-MoP-9 Chemistry of Boronic Acids on Semiconductor Surfaces: Pathways to Organic Monolayer Resists and Single Molecule Inhibitors for AS-ALD
Dhamelyz Silva Quinones, Andrew Teplyakov (University of Delaware)

As the size of the components in electronic devices is shrinking, new approaches and chemical modification schemes are needed to produce nanometer-size features with bottom-up manufacturing.

Organic monolayers can be used as effective resists to block the growth of materials on non-growth substrates in area-selective deposition methods, particularly in AS-ALD. At the same time, same or similar organic molecules can act as small molecule inhibitors (SMIs) introduced during the ALD process.

This study aims at investigating the chemistry of boronic acids that can be used to make such resists on oxide and elemental semiconductors. We use 4-fluorophenylboronic acid (FPBA) as a model to investigate the reaction of boronic functionality with surfaces of TiO2 and Al2O3 nanomaterials and with a functionalized Si(100) surface. On oxides surfaces, the reaction involves a condensation between a boronic acid functionality and the surface hydroxyl groups. On a Si(100) surface, the reaction is determined by surface termination. We use Cl-terminated Si(100) surface as an example to follow the reaction. The coverage of boronic acid attached to all these materials is the key to evaluate its potential as a blocking resist for ALD. Microscopy (AFM) and spectroscopy (ToF-SIMS, XPS, IR, and solid-state NMR) methods, together with cluster model DFT calculations are used to understand the chemical nature and surface bonding of FPBA on all these model surfaces. A commercial thermal ALD of TiO2 based on TDMAT and water is used to test the reactivity of functionalized silicon surfaces and the potential of FPBA to be used as a non-growth resist. A possibility to use boronic acids as SMIs is also discussed.

AF-MoP-10 Trench Coverage Properties of Oxide Films Deposited at Low Temperature by Pure Ozone ALD
Naoto Kameda, Takayuki Hagiwara, Soichiro Motoda (MEIDEN NANOPROCESS INNOVATIONS, INC.); Ken Nakamura, Hidehiko Nonaka (AIST)

We report improvement of trench coverage of Al2O3 and SiO2 films deposited by ALD using a pure ozone gas (PO-ALD) at low temperatures.

Pure ozone gas has a higher concentration of O3 gas(≥99 vol%) than in the conventional ozone gas (<10 vol%).In this gas, high purity is achieved, while NOx and heavy metal contaminants are 3-4 orders of magnitude lower compared to the conventional ozone gas. Thus, it is an effective oxidation source for producing high-quality oxide films at low temperatures. For example, Al2O3 film using PO-ALD with TMA precursor has low carbon content below detection limit by XPS at 150°C [1].

Another feature of an ozone molecule in the gas phase at the pressure range (up to 1000 Pa) during the PO-ALD process is its long half-life time, which is calculated from rate constant of its chemical reaction to be over 1000 seconds at 150°C. This half-life time is far longer than exposure time to the oxidant gas within each ALD cycle (< 100 seconds). Therefore, improvement in productivity during batch processing and improvement in trench coverage can be expected by supplying ozone without any decomposition to the inner part of trenches with high aspect ratios.

To confirm this expectation, Al2O3 film was deposited by PO-ALD with TMA for the trench with an aspect ratio of 55 (width 2.4um and depth 134um). The coverage, defined as the ratio of oxide thickness at the trench bottom to that at the trench top, was 0.52 and 0.98 at deposition temperatures of 100 and 300°C, respectively. At an ALD window temperature of 300°C, where TMA can be uniformly adsorbed to the bottom of the trench, PO-ALD can be formed on the bottom of the trench as well as on the top. On the other hand, at 100°C, which is lower than the ALD window, the amount of TMA adsorption was different between the top and the bottom of the trench, leading to the decrease in the coverage. However, if we compare each of GPC at the bottom of trench (GPCbottom), the GPCbottom of PO-ALD at 100°C was 0.076 nm/cycle, which is still larger than that of ALD using H2O and O2 plasma (0.064 and 0.056 nm/cycle) under the same condition for supplying the precursor gas. These results indicate that PO-ALD is so effective as to more homogeneous oxidation inside the trench structure with its higher reactivity even at the trench bottom compared to other oxidant gases.

We will also report and discuss trench coverage with a higher aspect ratio such as that over 100 and the case with SiO2 films.

[1] N. Kameda, T. Hagiwara, A. Abe, T, Miura, Y. Morikawa, M. Kekura, K. Nakamura, and H. Nonaka, ALD/ALE 2020 Virtual meeting, Poster presented at AF+MoP69 (2020).

View Supplemental Document (pdf)
AF-MoP-11 Novel Volatile and Liquid Sc Precursors for Electronic Applications
Manuel Kapitein, Susanne Herritsch, Markus Balmer, Thilo Hepp, Eva Schlathoelter, Oliver Briel, Joerg Koch (Dockweiler Chemicals)
Scandium (Sc) based materials are gaining increased attention in research labs evaluating devices for electronic applications. The continuous down-scaling of transistors demands new materials that meet the stringent requirements of semiconductor devices. Sc-based oxides are discussed to replace HfO2 as dielectric in metal gate and additionally, these oxides show good etch properties[1]. A new field of research is (Al,Sc)N MOCVD, which could disrupt power and rf signal processing device performance if successful[2]. However, conventional Cp3Sc is a solid-state precursor with relatively low vapor pressure, hampering the application of Sc-based materials. Other alternatives show complicated synthesis routes or may contain species that are unfavorable for a certain process.
Here we present a series of newly developed Sc-based precursors for CVD and ALD applications. Compared to commonly known Cp3Sc, the Sc precursors are liquid showing an increased vapor pressure, therefore improving vapor formation under process conditions. Molecule structures and thermogravimetric data are discussed.
[1]de Rouffignac, P., Yousef, A. P., Kim, K. H. & Gordon, R. G. ALD of Scandium Oxide from Scandium Tris(N,N[sup ʹ]-diisopropylacetamidinate) and Water. Electrochemical and Solid-State Letters 9, F45 (2006) doi: 10.1149/1.2191131.
[2]Streicher, I. et al. Enhanced AlScN/GaN Heterostructures Grown with a Novel Precursor by Metal–Organic Chemical Vapor Deposition. physica status solidi (RRL) – Rapid Research Letters 2200387 (2022) doi: 10.1002/pssr.202200387.
AF-MoP-12 PEALD Growth of Doped Indium Oxide Films with Control Over the Film Composition and Properties by Supercycle Approach Implementation
Matanel Zered, Valentina Korchnoy, Kamira Weinfeld, Gitti Frey, Moshe Eizenberg (Technion - Israel Institute of Technology)

This investigation explored composition and physical properties of doped In2O3 films deposited on glass or thermal oxide substrates at temperature 220°C in an Ultratech Fiji G2 PEALD System. Sn, Ti and Mo were examined as potential dopants for In2O3.Doping was performed using supercycle of In precursor and dopant precursor. In precursor was delivered in multipulse mode by a sequence of two consecutive pulses in a quick succession, to extend precursor residence time. Doping level was controlled by varying dopant cycles to In cycles ratio. A schematic diagram of the process is shown in Fig1. Growth per cycle rates for doped materials (ITO, ITiO and IMoO) and pure materials depending on the cycle ratio are presented in Tables1,2. Doped materials growth rates are in good agreement with ones calculated using Rule of Mixtures. Fig2,3 show Sn/In and Ti/In atomic ratio extracted from XPS depth profile for 1:12 and 1:20 films deposited on SiO2. For ITO, the deposited atomic ratio is very close to Sn:In cycle ratio used in the process and is in good agreement with Rule of Mixtures. For ITiO, extracted from XPS Ti atomic ratio is higher than its ratio in the supercycle recipe and deviates from Rule of Mixtures.

Electrical measurements Fig4, show that introducing dopants to In2O3 films causes resistivity changes: for ITO resistivity drops by~ an order of magnitude. For ITiO resistivity rises for heavily doped films and decreases along with decrease of Ti cycle ratio. Optimal conductivity was reported for 2-3% of Ti

Thermal annealing of deposited films was carried out in N2 atmosphere, at temperature 400°C, for 10 minutes. Thermal treatment has greatly lowered resistivity by 1-3 orders of magnitude for all films, Fig4. Lowest resistivity achieved for Sn-doped, Ti-doped, and Mo-doped were 2.8·10-4Ωcm, 4.2·10-4Ωcm and 6.1·10-4Ωcm respectively. The drop in the film resistivity for undoped In2O3 film can be explained by generation of O vacancies, which effectively increase carrier concentration. Optical changes were measured by transmission spectrophotometry, Fig5. Transmission decrease in IR region after annealing is attributed to free charge carrier absorption in conduction band due to dopant activation. Absorption edge shift towards lower wavelengths (Moss-Burstein effect), related to the filling of conduction band states, is observed for the annealed films. Mo-doped and Ti-doped films exhibit superior IR transparency over the conventional Sn-doped films

Supercycle approach based on indium and dopant cycle ratios was successfully employed to produce doped In2O3 films with control over the films composition and properties

View Supplemental Document (pdf)
AF-MoP-13 Using Glow-Discharge Optical Emission Spectroscopy to Characterize Polymers Treated Through Vapor Phase Infiltration
Seancarlos Gonzalez, Yuri Choe, David S. Bergsman (University of Washington)

Vapor phase infiltration (VPI) is a variation of atomic layer deposition (ALD) which takes advantage of long hold times to allow reactants to diffuse into a porous substrate. Recently, VPI has been used for the post-synthesis modification of polymers by infusing metal oxides into the polymer matrix to improve crucial membrane properties such as solvent stability and separation performance. However, characterizing polymers modified by infiltration, such as the depth and concentration of infiltrated reactants, can be challenging. Ellipsometry can be used to characterize surface thickness, but it cannot determine the depth to which the infiltration is successful or the elemental composition as a function of thickness. Cross-sectional scanning electron microscopy (SEM) can determine elemental composition, but its resolution for certain elements is limited to only very thick layers. X-ray photoelectron spectroscopy (XPS) can depth profile to determine elemental composition, but this technique is extraordinarily time and cost intensive.

In this work, we examine the use of glow-discharge optical emission spectroscopy (GD-OES) to characterize polymer membranes treated by infiltration. This technique uses plasma to sputter a crater into a sample, and then measure the atomic emissions of the sputtered elements. Signals are produced for each element as a function of time, which can yield quantitative data of elemental composition as a function of depth when calibrated to a standard. We demonstrate the use of GD-OES to explore the effectiveness of VPI on thin polymers by determining the depth to which infiltration was successful and comparing the elemental compositions of polymers infiltrated under different conditions.

AF-MoP-14 New Approaches for the Thermal Atomic Layer Deposition of Elemental Antimony Thin Films
Daniel Beh (Wayne State University); Zachary Devereaux, Thomas Knisley (Applied Materials); Charles Winter (Wayne State University)

Elemental antimony (Sb) thin films have applications in phase change memory, doping of semiconductors, and as precursors to Sb-containing materials. Atomic layer deposition is an important thin film growth technique that can afford Angstrom-level thickness control and perfect conformality in high aspect ratio features. Many applications of Sb films require growth in substrates with nanoscale features where perfect thickness uniformity and conformal coverage are required. Accordingly, the growth of Sb films by ALD is an important research goal. Elemental Sb films have been previously grown by thermal ALD using SbCl3 and Sb(SiEt3)31 and Sb(SiMe3)3 and SbCl3.2 We have previously reported the use of 2-methyl-1,4-bis(trimethylsilyl)-2,5-cyclohexadiene (1) or 1,4-bis(trimethylsily)-1,4-dihydropyrazine (2) as reducing co-reactants in ALD.3 Herein, we describe the thermal ALD of elemental Sb films using SbCl3 and 1 or 2 as the co-reactants. Most deposition experiments were conducted using 2 as the co-reactant, since it is more reactive than 1. Sb films were deposited at substrate temperatures between 75 and 150 ºC using SbCl3 and 2 as precursors. At a substrate temperature of 75 ºC, a growth rate of 0.58 Å/cycle was observed on Si-H substrates. The X-ray diffraction pattern of a 28 nm thick film on an Si-H substrate matched the reference pattern for elemental Sb. X-ray photoelectron spectroscopy of a 28 nm thick film on Si-H afforded a composition of 98.5% elemental Sb after 20 minutes of argon ion sputtering. Other properties of the Sb films will also be described. Finally, we will present alternative halogen-free Sb precursors and nitrogen-based co-reactants that also afford elemental Sb films in thermal ALD processes.

1. Pore, V.; Knapas, K.; Hatanpää, T.; Sarnet, T.; Kemell, M.; Ritala, M.; Leskelä, M.; Mizohata, K. Chem. Mater. 2011, 23, 247−254.

2. Al Hareri, M.; Emslie, D. J. H. Chem. Mater. 2022, 34, 5, 2400–2409.

3. Klesko, J. P. Thrush, C. M.; Winter, C. H. Chem. Mater. 2015, 27, 14, 4918–4921.

View Supplemental Document (pdf)
AF-MoP-15 Electron Beam Generation and Precise Control of Beam Energy for Large Area Electron Enhanced Atomic Layer Deposition
MINSEOK KIM, Jiwon Jung, Junyoung Park, Chang-min Lim, Beomjun Seo, Chin-Wook Chung (Hanyang University)

A large-area electron beam is generated, and the electron beam energy is precisely controlled using several DC grids. As the electron beam source's electron temperature is lowered (Te =2.43 eV to 0.8 eV), the electron beam's energy spread is reduced from 7.8 V to 2.7 V. This is because the low electron temperature plasma has a narrow electron energy distribution, which makes the energy spread of the generated electron beam smaller. Through precise control of the electron beam energy, the generation of N and F radicals according to the beam energy is observed in Ar/N2 and Ar/CF4 plasmas. It is expected that a precursor decomposition and ligand formation can be selectively made in the electron-enhanced ALD process through precise control of the large-area electron beam.

AF-MoP-16 Development of Piezo Controlled Vapour Delivery System for Ru ALD Application
Hiroshi Nishizato, Girase Krunal, Takumi Moriyama (HORIBA STEC, Co., Ltd.); Kazuma Uesugi, Gagi Tauhidur Rahman (Hiroshima University); Patrick Lowery, Troy Freeman (HORIBA Reno Technology Center); Yoshiteru Amemiya, Akinobu Teramoto (Hiroshima University)

Atomic layer processes, such as atomic layer deposition (ALD) use precursors. Some of the Metal ALD process uses rare metal such as Ru for metal connection.This kind of metal organic chemical vapor used in ALD processes has to be delivered at a constant volume, and concentration per pulse, without wasting unused precursor through the vent lines during purge cycles. In the present study, the newly developed fast response flow-controlled vapor delivery system using a piezoelectric actuated electromechanical valve (EMV) was developed and implemented for this ALD application. This valve shows a response time of <1ms for ON/OFF pulsing and <10ms for the flow control with the ability of programable waveform control. Ruthenium film formation has been confirmed from Ru precursor and Oxygen on the oxidised Si surface by using this piezo actuated electromechanical valve (EMV).Fig. 1 shows a Tool configuration and setting of Ru ALD. In the configuration shown, no ruthenium precursor vent lines are used and ruthenium precursor dosing goes directly to the reactor in the ALD cycle.

View Supplemental Document (pdf)
AF-MoP-17 Realization of Conductive Electrodes for Solar Cells by Spatial ALD Using New Coinage Metal Precursors
Nils Boysen (Ruhr University Bochum); Tim Hasselmann, Bujamin Misimi (University of Wuppertal); Maarit Karppinen (Aalto University); Thomas Riedl (University of Wuppertal); Anjana Devi (Ruhr University Bochum)
The realization of conducting and transparent ultra-thin metallic films by ALD remains a major challenge due to a pronounced Volmer-Weber type island growth on different substrates. Several applications that are relying on thin metallic films, such as transparent electrodes for solar cells, might not be easily accessible by ALD, as precursors with suitable characteristics are missing. Especially for the deposition of metallic silver films by ALD, a precursor possessing high thermal stability, volatility and reactivity is still sought after. Based on our iterative efforts in terms of ligand engineering, new copper and silver precursors were developed and their successful application in low-temperature plasma-assisted spatial ALD processes at atmospheric pressure (APP-ALD) was demonstrated.[1] Introducing the stabilizing N-heterocyclic carbene ligand (NHC), combined with reactive anionic counterparts such as hexamethyldisilazides (hmds) or β-diketonates (acac), copper and silver precursors and their respective processes for metallic thin films could be established.[2] The new silver precursor [Ag(NHC)(hmds)] provides a significantly enhanced reactivity compared to its commercially available counterpart [Ag(fod)(PEt3)] (fod: 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate), which enables spatial ALD processes with H2/Ar plasma at a record-low deposition temperature of 60 °C. This not only significantly reduces the total thickness of the layers needed for percolated and thus conductive silver films, but also enables an application of the silver films as conductive electrodes in organic solar cells.[3] Furthermore, new ALD processes based on the NHC-stabilized copper precursors, namely [Cu(NHC)(hmds)] and [Cu(NHC)(acac)] were established. Using [Cu(NHC)(hmds)] and H2/Ar plasma, pure and conductive copper films were deposited at a low deposition temperature of 100 °C by APP-ALD.[4] Also, in a thermally-driven ALD process with [Cu(NHC)(acac)] and hydroquinone, nanoparticulate metallic copper deposits could be obtained at remarkably low deposition temperatures of 145 °C to 160 °C. These new findings reiterates that deposition of conductive copper or silver thin films at low temperatures can only be realized by a rational design of highly reactive precursors that are tailor-made for such demanding processes.[5] This contribution summarizes the main findings of precursor and process development at RUB, BUW and Aalto University over the last years and presents ideas on how to further strengthen the precursor and process characteristics for different applications. View Supplemental Document (pdf)
AF-MoP-19 Comparative Study of the Surface Reactivity and PEALD of Monoaminosilanes and Cyclic Azasilanes
Chad Brick, Tomoyuki Ogata, John Collins (Gelest, Inc)

In this work, we report the physical properties, surface reactivity and plasma-enhanced atomic layer deposition (PEALD) of a series of monoaminosilanes and cyclic azasilanes, with a focus on the relationship between chemical structure and properties such as vapor pressure, pulse times required to reach surface saturation, practical material consumption rates in a production-like tool, and water contact angle. Additionally, the conversion of these chemical structures to SiO2 using oxygen plasma PEALD will be discussed in terms of growth per cycle, the required temperature and plasma pulse times for conversion to oxide, and the resulting film composition and properties.

AF-MoP-20 Atomic Layer Deposition of Strontium Oxide on Different Materials
Marek Eliáš (CEITEC, Brno University of Technology); Anjar Anggraini Harumningtyas (Osaka University); David Nečas, Lucie Janů, Eva Dvořáková (CEITEC); Tomoko Ito, Pierre Vinchon, Satoshi Hamaguchi (Osaka University, Japan); Lenka Zajíčková (CEITEC BUT & Masaryk University)

Atomic layer deposition (ALD) on different substrates is challenging because of its extreme surface-chemistry sensitivity given by the targeted ALD self-limiting nature. In this study, we investigated the growth of strontium oxide from bis(tri-isopropylcyclopentadienyl) strontium Sr(iPr3Cp)2(98%, Strem, Massachusetts, USA) using either H2O oxidation step in thermal ALD or oxygen plasma step in plasma-enhanced ALD. The primary motivation was to prepare strontium-containing films for spinal implants. Strontium has a dual effect of stimulating osteoblast function and inhibiting osteoclast function and can be used for osteoporosis treatment. In combination with TiO2, a typical biocompatible material, it can enhance the bioactivity of coated implants. The combination of SrO with TiO2 is also advantageous in other applications. The ternary strontium titanate SrTiO3 is of significant interest for high-density metal−insulator−metal (MIM) capacitors. SrTiO3 perovskite applications span from electronics to energy. Thus, it is essential to understand how ALD of SrO works on different materials either because of the need to fine-tune the composition of ternary oxides or create thin-film heterostructures. Polymer substrate brings an additional challenge to the ALD processes. We studied the ALD of SrO on Si, Ti, TiO2, and polyetheretherketone (PEEK) with different surface treatments. The selection of the PEEK substrate was motivated by applications for spinal implants because its elastic modulus is similar to the human cortical bone.

AF-MoP-22 Effects of Silicon Surface Termination on the Initial Stages of TiO2 Deposition by ALD
Tyler Parke, Andrew Teplyakov (University of Delaware)
As atomic layer deposition (ALD) emerges as a method to fabricate architectures with atomic precision, emphasis is placed on understanding surface reactions and nucleation mechanisms. ALD of titanium dioxide with TiCl4 and water has been used to investigate deposition processes in general, but the effect of surface termination on the initial TiO2 nucleation lacks needed mechanistic insights. Further, a wider toolkit of terminations, which promote or inhibit nucleation, may allow for more elaborate deposition schemes involving the same overall deposition chemistry. This work examines the adsorption of TiCl4 on Cl-, H-, and -OH terminated silicon single crystal surfaces to elucidate the general role of different surface structures and defect types in manipulating surface reactivity of growth and non-growth substrates. Other surface terminations derived from these starting points, specifically additional modification step with primary amines, were also tested. The surface sites and their role in the initial stages of deposition are examined by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Density functional theory (DFT) computations of the local functionalized silicon surfaces suggest oxygen-containing defects are primary drivers of selectivity loss on most of these surfaces.
AF-MoP-24 Deposition Characteristics Evaluation of New In Precursor for IGZO TFT
Yong Hee Kwone, Sang Yong Jeon, Sang Chan Lee, Tae Seok Byun, Young Jae Im, Sang Ick Lee (DNF Co. LTD.)

In accordance with the high resolution/high integration of the display market, the required performance of the driving element required for the back-plane is increasing in order to secure uniform performance in a large area.

Accordingly, various studies on materials and processing methods of TFT devices are being conducted. In particular, as a material for TFT, IGZO based on In2O3, which has the advantages of relatively high mobility compared to amorphous silicon, excellent uniformity compared to polycrystalline silicon, and a simple manufacturing process, has been actively studied. However, conventional indium precursors have disadvantages of high price as well as low vapor pressure and low deposition rate.

In this study, the deposition characteristics of In2O3 were evaluated using the newly developed Indium precursor (DIP-4) for the purpose of dramatically improving the disadvantages of the existing Indium precursor (Fig 1). In addition, it was compared with DADI((3-Dimethylaminopropyl)dimethylindium), a currently commercialized indium precursor.

The deposition process used the PEALD process, which is easy to control the composition and excellent in thickness uniformity in the deposition of a multi-component thin film with a multi-layer structure. As a result of evaluating the basic ALD characteristics (Saturation, Window, etc.) of the DIP-4 and DADI, In2O3 deposited with each Precursor showed similar characteristics (Composition, Density, Crystal Structure). However, the deposition rate of the DIP-4 was about 35% higher than that of DADI(Fig 2).Through this, the high productivity of the DIP-4 was confirmed.

In addition, the DIP-4 is advantageous in terms of unit price because it can be obtained with simplified synthesis and high yield by distillation.

For the formation of multi-layered IGZO thin films, the incubation time, deposition rate, and interface characteristics of In2O3 deposited with the DIP-4 were evaluated according to the surface (Ga2O3, ZnO).

View Supplemental Document (pdf)
AF-MoP-27 Atomistic Study of Amorphous Si-O-X Networks for Plasma Enhanced Atomic Layer Deposition-Produced SiO2 Films: Illuminating the Structure-Composition-Mechanical and Electrical Property Connections
Andrei Dernov (University of Minnesota, USA); Pulkit Agarwal, Ravi Kumar (Lam Research Corporation); Traian Dumitrica (University of Minnesota, USA)
Using self-consistent density functional tight-binding simulations it is shown that Aluminum (Al) content in amorphous silica (a-SiO2) changes its ideal microscopic structure in a manner compatible with densification. Similar to the structure of pressure-densified a-SiO2, the Al-modified a-SiO2 comprises a network of Silicon (Si)-centered tetrahedra as well as unquenchable pentahedra and, to a smaller extent, hexahedra coordination defects. Al itself acts not only as a network former, with fourfold coordination but also as a center for fivefold and sixfold coordination defects. Al content promotes densification since it shifts the potential energy minima at densities larger than in their pristine counterpart. Calculations uncover that Young's modulus (Y) and static dielectric constants (ε0) can be effectively doubled through densification. Oxygen starvation promotes network polymerization, which further increases Y and ε0. However, the small ring formation through Si─Si bonding and the presence of undercoordinated Si introduce electronic states in the electronic band gap. The results provide guidance for the bottom-up design of amorphous silica with tunable microscopic structure and properties desirable for advancing electronic applications. View Supplemental Document (pdf)
AF-MoP-28 Surface Modification of 2,6 Diamino-Pyrazine-1-Oxide by Atomic Layer Deposition of Al2O3
John Miller, Robert Reeves (Lawrence Livermore National Laboratory)
Inkjet additive manufacturing utilizes a stream of solid particles embedded in a cross-linking fluid which is ejected from a nozzle and stacked layer by layer to make custom shapes with tunable properties. The properties of the printed components are highly dependent on the solid content capable of being loaded into the inks. Atomic layer deposition (ALD) provides a means to modify the surface of particles to reduce their surface energy and thus cohesivity in inkjet solutions, allowing for increased solids loading. This work investigates the effects of ALD Al2O3­ on the surface of dry 2,6 Diamino-Pyrazine-1-Oxide (DAPO) particles, which can be used as a solid filler in ink solutions. In bulk quantities, powder rheometry was utilized to measure the basic flowability energy of coated DAPO as a function of ALD cycles. Results show that increasing the number of coating cycles increases the basic flowability energy, indicating a decrease in overall powder cohesivity. Small samples of uncoated and coated DAPO were also measured by Inverse Gas Chromatography to directly measure changes in surface energy before and after coating. Results showed that surface coatings reduce the surface energy at low gas coverage corresponding to saturation of high energy sites on the surface of the DAPO.
AF-MoP-29 Precise Interface Engineering for High Thermoelectric Performance in CuNi Alloys Using Powder ALD
Shiyang He (Leibniz Institute for Solid State and Materials Research); Amin Bahrami (Helmholtzstraße 20); Sebastian Lehmann, Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research)

In thermoelectric materials, interfaces of phase boundaries play a critical role in carrier/phonon transport. Herein, we present a strategy for designing a sandwich coating structure based on powder atomic layer deposition (pALD) to precisely control and modify the phase boundaries of CuNi alloys, and thus decouple thermoelectric parameters. Ultrathin interlayers of ZnO and Al2O3 oxides are uniformly deposited on the phase boundary of CuNi alloys to demonstrate the effectiveness of this strategy. The hierarchical deposition of ZnO and Al2O3 layers contribute to the creation of an energy barrier, that augments the Seebeck coefficient significantly. Despite a slight decrease in the electrical conductivity, the enhanced Seebeck coefficients for 50 cycles ZnO coated samples compensated for the loss, resulting in a ~45% increase in power factor over the uncoated sample. Thereupon, the sandwich-like multiple layers structure (ZnO/Al2O3/ZnO) was built to enhance electrical resistance at phase boundaries. Beyond 50 ALD cycles, the multiple-layered structure sustained the increased power factor while notably reducing thermal conductivity. In the sample with 44 cycles ZnO/11 cycles Al2O3/ 44 cycles ZnO cycles multi-layer structure, a maximum figure of merit (zT) of 0.22 was achieved at 673 K. Due to the decoupling of thermoelectric parameters by ALD, the zT value increased 144% when compared to pristine CuNi and is nearly as high as previously reported values. The ALD-based approach to decoupling thermoelectric parameters is easily applicable to other thermoelectric materials, resulting in the development of high-performance materials.

AF-MoP-30 High-Throughput SiO2 PEALD Using a Novel Si Precursor
Jin Sik Kim, Byung-Kwan Kim, Jun Hwan Choi, Wonyong Koh (UP Chemical Co., Ltd.)

A newly developed Si precursor can enhance SiO2 PEALD throughput greatly. A notable application of SiO2 PEALD is double patterning, for which SiO2 film is deposited over photoresist at low temperature between room temperature and 150°C.The PEALD growth-per-cycle using the novel Si precursor is 2.3 times larger than bis(diethylamino)silane (BDEAS) and 1.7 times larger than diisopropylaminosilane (DIPAS) using O2 plasma at 125°C. It may enhance productivity of PEALD double patterning process 2.3 or 1.7 times compared to using BDEAS or DIPAS. PEALD deposition characteristics and SiO2 film properties including film step coverage, thickness uniformity, wet etch rate, carbon content, etc. deposited from the novel precursor and conventional ones such as BDEAS, DIPAS and BTBAS are presented and compared. The novel Si precursor shows the same or better characteristics.

View Supplemental Document (pdf)
AF-MoP-31 Oxidation Mechanism of Atomic Layer Deposition of HfO2 Using O3
Soo Hyun Lee, Bonggeun Shong (Hongik University)

As the size of semiconductor device is shrinking down to the ultimate limit, there have been needs for deposition techniques that can control the thin films at atomic scale. Atomic layer deposition (ALD) is a powerful deposition technique that can fabricate thin film in excellent conformality even on substrates with high aspect ratio geometries. Hafnium oxide (HfO2) is a representative thin film material that is often deposited via ALD due to its high k value and superior properties as dielectric. For ALD of HfO2, commercialized Hf precursors containing amido ligands such as TEMAH (tetrakis(ethylmethylamino) Hf) and CpHf (tris(dimethylamido)cyclopentadienyl Hf) are often used. While TEMAH or other homoleptic amido precursors allow ALD using either water (H2O) or ozone (O3) as counter-reactants, the heteroleptic CpHf require oxidants stronger than H2O such as O3 in order to reduce carbon impurities [1,2]. Regardless of popular adaptation of O3 as oxidant in oxide ALD processes, however, the chemical mechanism for the reaction of O3 during HfO2 ALD has not been elucidated yet. In this study, the oxidation mechanism of surface-adsorbed Hf precursors by O3 is analyzed using density functional theory (DFT) calculations. Multiple possible oxidation reaction pathway which successfully removes remaining amido ligand on Hf are considered. Reaction of O3 are expected to occur through multiple elementary steps, finally forming -OH moieties and remove C/H/N via liberation of several byproducts. Overall these reactions are found to be highly exothermic, possibly due to high reactivity of O3.

References [1]JVSTA2012,30 (1),01A119. [2] JVSTA2017,35 (1),01B130.

AF-MoP-32 Eggshell-Type Catalysts by Atomic Layer Deposition: Distribution of Zinc Oxide Within Mesoporous Alumina Spheres
Jihong Yim (Aalto University, Finland); Niko Heikkinen (VTT Technical Research Centre of Finland); Eero Haimi, Christine Gonsalves, Ashish Chahal, Jorge A. Velasco, Reetta Karinen (Aalto University, Finland); Juha Lehtonen (VTT Technical Research Centre of Finland); Riikka L. Puurunen (Aalto University, Finland)

Atomic layer deposition (ALD) is an intriguing method for preparing heterogeneous catalysts with well-defined surface structures.1,2 A recent study by Arandia et al.,3 related to this work, demonstrated the potential of zinc acetylacetonate [Zn(acac)2] as an ALD reactant for tuning the surface properties of mesoporous zirconia-supported copper. In this work, we aim (i) to prepare a uniform coating of zinc oxide (ZnO) on mesoporous alumina (Al2O3) in a fixed bed flow type ALD reactor and (ii) to control the penetration depth of ZnO coatings on Al2O3 spheres by adjusting the dose of Zn(acac)2.

ZnO was added on porous Al2O3 with an irregular shape (particle size ca. 0.1 mm) and Al2O3 spheres (particle sizes 1.0, 1.8 and 2.5 mm) in F-120 ALD reactor. The Zn(acac)2 (vaporized at 120 ˚C) was chemisorbed on calcined supports at 200 ˚C for 3 h in the ALD reactor. The leftover ligands after the chemisorption were oxidatively removed in synthetic air in a tube furnace at 600 ˚C. Information on ZnO ALD on Al2O3 was obtained by inductively coupled plasma-optical emission spectrometry (ICP-OES), scanning electron microscopy (SEM) with energy-dispersive X-ray spectrometry (EDS), and in-situ diffuse reflectance infrared Fourier transform spectroscopy-mass spectrometry (DRIFTS-MS). By EDS analysis, a uniform zinc signal was observed throughout the 0.1 mm Al2O3 particle (Fig. 1 of supporting information). Zinc loading was ca. 3.1 wt% (1.8 Zn/nm2). Meanwhile, zinc was observed near the outer surface of the Al2O3 spheres (Fig. 2). The penetration depth of the ZnO and the zinc loading increased (highest ca. 2.5 wt%) while increasing the dose of Zn(acac)2 was directed through the support bed. These results indicate that the reaction of Zn(acac)2 on Al2O3 spheres did not reach saturation yet. DRIFTS-MS showed that acac ligands adsorbed on Al2O3 were removed as CO2 up to 550 ˚C. The surface coverage profile of zinc coating on sphere support was simulated by a diffusion-reaction model fitted for various exposures, comparing well with experimental data (Fig. 3). We believe that the ALD process can be used to prepare eggshell-type heterogeneous catalysts.

This work was supported by the Academy of Finland (COOLCAT project, grant no. 329978, ALDI project, grant no. 331082, and Matter and Materials, grant no.318913) and R. L. Puurunen's starting grant at Aalto University. Ilkka Välinaa is thanked for help with the ICP-OES analysis.

References

1. van Ommen, R.; Goulas, R.; Puurunen, R.L. Atomic layer deposition, in Kirk-Othmer Encyclopedia of Chemical Technology 2021.

2. O’Neill, B. et al. ACS Catal. 2015, 5, 1804-1825.

3. Arandia, A. et al. Appl. Catal. B 2022, 321, 122046.

View Supplemental Document (pdf)
AF-MoP-33 Numerical Simulation of Surface Reactions During Plasma-Enhanced Atomic-Layer Deposition (PE-ALD) of Silicon Nitride (SiN)
Jomar U. Tercero (Osaka University, Japan); Marjan Krstić (Karlsruhe Institute of Technology (KIT)); Abdullah Y. Jaber, Erin Joy C. Tinacba, Nicolas A. Mauchamp, Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)

Fabrication methods of high-performance semiconductor devices have reached a stage where precise processes with atomic-scale accuracy are required. As such, plasma-based surface processing techniques such as plasma-enhanced atomic layer deposition (PE-ALD) have been widely employed to deposit highly conformal thin films on surfaces with complex geometries. Each cycle of PEALD typically consists of self-limiting adsorption and desorption steps.[1] For example, in the case of silicon nitride (SiN) PE-ALE[2], chlorosilanes are adsorbed on the SiN surface at an elevated temperature. This study first analyzed the desorption process of chlorosilanes (SiHxCl4-x) on the Si(100):2´1 surface, using density-functional-theory (DFT) simulation, evaluating the adsorption and activation energies of chlorosilanes. It is observed that most chlorosilanes are dissociatively adsorbed on the surface barrierlessly even at zero surface temperature. We also performed classical molecular dynamics (MD) simulations to evaluate the adsorption reaction (sticking) probabilities of chlorosilanes on Si and SiN surfaces. Molecular dynamics simulation was also performed to study the nitridation step where the surface is exposed to nitrogen/hydrogen or ammonia plasmas. It was found that hydrogen radicals play an important role in removing excess chlorine (Cl) atoms from the surface.

References

[1] K. Arts, et al., “Foundations of atomic-level plasma processing in nanoelectronics,” Plasma Sources Sci. Technol. 31, 103002 (2022).

[2] T. Ito, et al., “Low-energy ion irradiation effects on chlorine desorption in plasma-enhanced atomic layer deposition (PEALD) for silicon nitride,” Jpn. J. Appl. Phys. 61, SI1011 (2022).

AF-MoP-34 Effect of Precursor Temperature of 1,4-Phenylene Diisocyanate (PPDI) on the Growth Rate of Polyurea Using Molecular Layer Deposition (MLD)
Jae Seok Lee, Seonghak Song, Byoung-Ho Choi (Korea University)

For molecular layer deposition (MLD), it is important to find a saturated pulse, purge time at a specific temperature. In the case of a precursor in a solid state at room temperature, the vapor pressure is lower than that in a liquid or gaseous state, and thus a longer pulse, purge time is required. Since the vapor pressure of the precursor is proportional to the temperature, heating precursor during deposition can reduce the cycle time. However, for certain molecules, intermolecular dimerization occurring at temperatures above the melting temperature (Tm) may affect the growth rate of the thin film. Therefore, it is necessary to consider this and determine the appropriate precursor temperature.

In this study, MLD was used to synthesize a polyurea thin film using 1,4-phenylene diisocyanate (PPDI) and ethylenediamine (EDA) as precursors. 70℃, 120℃, and 180℃ are selected as precursor temperature based on Tm of PPDI which is approximately 99℃. Polyurea thin film was deposited on Si wafer at a room temperature. Growth per cycle (GPC) for each condition was measured using X-ray reflectometry (XRR) and Fourier transform infrared spectroscopy (FTIR) to evaluate the effect of PPDI temperature on the growth rate and structure of the thin film. In addition, to verify the dimerization of PPDI, heat treatment was performed at 70℃, 120℃ and 180℃ for a week using dry oven. Transition of PPDI molecular structure and physical properties were analyzed using differential scanning calorimetry (DSC) and FT-IR.

As a result, dimerization was identified at 120℃ and 180℃, which is the cause of the increasing melting point. Through XRR data, there is clear tendency of decrease in GPC with 120℃ and 180℃ cases while 70℃ does not. IR peak analysis is for double checking the results from PPDI. In conclusion, the precursor temperature must be decided considering both the reactivity and thermal stability of substances.
AF-MoP-35 Multicomponent RuTiOx Thin Films through Atomic Layer Modulation
Ngoc Le Trinh, Chi Thang Nguyen, Bonwook Gu, Han-Bo-Ram Lee, Mingyu Lee (Incheon National University)

Recently, atomic layer deposition (ALD) has become a key technique for fabrication of multicomponent films in nanoscale devices. Conventionally, the supercycle method consisting of two or more ALD processes has been used, and the compositional ratio of the films can be controlled by cyclic ratio of two ALD processes. However, the compositional ratio often is not consistent with the theoretical calculation due to different surface reactions on each surface. Furthermore, the supercycle method requires a certain film thickness to maintain a compositional ratio, so it can’t be used in a few nanometers thickness films. Based on understanding of surface reactions mechanism in atomic layer deposition (ALD), we have studied the concept of atomic layer modulation (ALM) for fabrication of the multicomponent thin film with atomic-scale control. The main key idea of ALM is that the compositional ratio is determined by the physical steric hindrance and the chemical reactivity of two precursors on the surface which can be predicted by theoretical calculations. We successfully fabricated a RuTiOx multicomponent thin film which have the potential applications for interconnects materials. The RuTiOx thin film was deposited with controllable dopant ratio using a Ru precursor, dicarbonyl-bis(5-methyl-2,4-hexane-diketonato)Ru(II) (Carish), and a Ti precursor, titanium tetraisopropoxide (TTIP). Due to the steric hindrance effect, the component ratio of RuTiOx thin films is determined by the exposure sequence of precursors. Theoretical calculations were employed using Monte Carlo (MC) and density functional theory (DFT) to study physical and chemical reaction mechanisms, respectively. The results are consistent with the experimental results analyzed by X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). With the ability to control doping concentrations even at atomic scale, not only the ALM method could be contributed to expand the possibility of fabricating multicomponent oxides materials, but also improving the quality of the deposited films.

AF-MoP-36 Fine-Tuning of Low Surface Energy Substrate Functionality to Lower the Nucleation Delay Inherent for ALD of Noble Metals
Sitaramanjaneya Thalluri, Raul Zazpe, Jhonatan Rodriguez-Pereira, Hanna Sopha, Jan Macak (University of Pardubice)
Platinum group metals such as Pt, Ru, Pd, Ir, etc., have superior performance for various catalytic applications[1]. Due to their scarcity, efforts were being made to reduce or replace these noble metals. Atomic Layer Deposition (ALD) is one among the best technique to facilitate lowering of loading mass on a support of interest[2],[3]. Furthermore, ALD is the most suitable technology that can decorate high aspect ratio and high surface area substrate architectures[4]. Due to the governing surface energy variations between noble metals and support surfaces, the growth initiates as nanoparticles (NP) and with a further increase in ALD cycles the agglomeration among NP’s dominates over the individual NP size increase, thus developing thin films of relatively higher thickness. These variations are also known to increase the nucleation delay of noble metals especially for Ru considerably. In this regard our efforts were laid to improve the functionality with pretreatments on carbon paper (CP) supports which were shown promising to reduce the nucleation delay of ALD deposited Ru.
For electrocatalytic applications, it is important to choose the right substrates. Among available substrates, CP and titania nanotube (TNT) layers are best choices considering their physio-chemical properties, availability, vast literature, and low costs incurred using these as support substrates in electrocatalysis and photocatalysis. Several surface modifications for CP’s and variations on morphological aspects of TNT layers had received a great attention form applied fields due to their improved surface area, conductivity and stability[5]–[8]. Uniformly decorating these CP’s and TNT layers by NPs or thin films of catalysts proved to be highly efficient with no boundaries on applications[9].
The presentation will introduce and describe the synthesis of different noble metal NPs by our ALD tool (Beneq TFS 200) on various aspect ratio TNT layers and CP substrates. It will also include the corresponding physical and electrochemical characterization and encouraging results obtained in electrocatalysis.
References:
1. Huang, Z. F. et al. Advanced Energy Materials vol. 7 (2017) 1700544.
2. Yoo, J. E. et al. Electrochem. commun. 86, (2018) 6.
3. Anitha, V. C. et al. J. Catal. 365, (2018) 86.
4. Zazpe, R. et al. Langmuir 32, (2016) 10551.
5. Sopha, H. et al. Appl. Mater. Today 9, (2017) 104.
6. Macak, J. M., Zlamal, M., Krysa, J. & Schmuki, P. Small 3, (2007) 300.
7. Liu, C., Sun, C., Gao, Y., Lan, W. & Chen, S. ACS Omega 6, (2021) 19153.
8. Sitaramanjaneya M. Thalluri & Macak, J. M. Small 2023-Under Rev.
9. Dvorak, F. et al. Appl. Mater. Today 14, (2019) 1.
AF-MoP-37 Growth Behaviors and Structural Characterization of PEALD In2O3 thin films using Amide-based and Alkyl-Based Novel Indium Precursors
Gyeong Min Jeong, Yoon-Seo Kim, Hae Lin Yang (Hanyang University); Myoungwoon Kim, Sangick Lee, Yonghee Kwone, Sangyong Jeon, Youngjae Im (DNF); Jin-Seong Park (Hanyang University)

Oxide semiconductors are attracting attention as active channel materials due to their advantages like high field effect mobility, low off current, and low process temperature. Indium-based oxides, such as In-Ga-Zn-O (IGZO), In-Ga-Sn-O (IGTO), In-Ga-O (IGO), have been mainly studied for high electrical characteristic. Indium oxide is critical source in electron properties because it has very low electron formation energy that can easily generate electron. Indium provides carrier transport path through overlap from the large size of their 5s orbital. As the device scaling down according to Moore’s law need nanoscale controlling in process, the atomic layer deposition (ALD) is powerful method which can control film thickness in atomic scale and can control chemical composition. Since ALD process is based on self-limiting reaction nature, choice of precursor has significant influence on the properties of thin film. Many indium precursors (InCl3, TMIn, InCp, DADI, In-CA-1, etc.) for ALD have been developed. Especially, (3-Dimethylaminopropyl) dimethylindium (DADI) is mostly used precursor in developing oxide semiconductor. The DADI precursor which is liquid phase has moderate GPC because amine ligand has high reactivity, but it is expensive and has low vapor pressure. In contrast, TMIn is inexpensive and high vapor pressure precursor than DADI, but it is a solid phase material that make low growth rate properties. So that, researching the cheaper precursor that have high reactivity and high growth rate is needed. In this study, we newly synthesized two indium precursors of DIP-3 and DIP-4 based on structure of DADI and TMIn, respectively. DIP-3 is liquid phase precursor based on DADI that have structure that is consist of amine ligand and coordination bond. On the other hand, DIP-4 is Alkyl based liquid phase material like TMIn. DIP-4 has not only higher vapor pressure compared to DIP-3 but also advantage in price. We made indium oxide film using DIP-3, DIP-4 and O2 plasma in setting temperature 100 ~ 300°C. As a result, indium oxide layer using DIP-4 precursor has higher GPC (~1 Å/cycle) than DIP-3 (~0.6 Å/cycle). In addition, enlarged grains that help to enhance electrical properties are found from sample using DIP-4 due to smaller precursor size. We explain the origin of difference through analysis of film and DFT calculation. Therefore, it is useful method to get enhanced GPC and enlarged grain size that changing structure of precursor.

View Supplemental Document (pdf)
AF-MoP-38 Evaluation of a Zr Precursor and Hf Precursor with Higher Thermal Stability for the Atomic Layer Deposition of ZrO2 and HfO2 Films
Randall Higuchi (EMD Electronics); Ruben Waldman, Pezhman Arab, Charlene Chen, Daniel Lee (EMD Electronics, USA)
For DRAM applications the crystallinity of the dielectric film is critical to obtaining the correct dielectric constant. Precursors that allow higher temperature deposition could lead to crystalline as-deposited films and the thermal stability could lead to better step coverage. A Zr precursor and a Hf precursor were used to deposit ZrO2 and HfO2 films, respectively, in order to examine their deposition properties, electrical properties, and step coverage. These precursors have similar vapor pressure but improved thermal stability and can be deposited above 350C with no decomposition. Use of the Zr precursor with ozone shows a deposition rate of 0.65A.cyc from 250-350C. Use of the Hf precursor with ozone shows deposition rate of 0.65A/cyc from 300-350C. We looked at the leakage and EOT of the resulting ZrO2 and HfO2 films and showed comparable or better electrical performance to films deposited from other precursors. Crystallinity of the films was confirmed to correlate with the electrical performance. We also tested step coverage and the better thermal stability of the precursors led to improved step coverage. The current study covers the process window and electrical results for the investigated precursors.
AF-MoP-39 Hybrid PEALD/PEVCD Reactor Design for Depositing Thick GaN Films on Si
Birol Kuyel, Alex Alphonse, John Marshall (NANO-MASTER, Inc.)

Depositing thick GaN on Si wafer using PECVD or CVD will require a thin buffer layer on sapphire wafers. We have presented results showingALD deposited GaN on Si wafer could possibly be a buffer layer for growing thick GaN layer on Si because of Si/GaN interlayer mixing* during ALD deposition. Now we want to show results of depositing a thick GaN film in a PECVD system on a Si wafer having ALD GaN. Furthermore we will show that our new “Hybrid PEALD/PECVD reactor”** can deposit both thin ALD buffer layer and thick PECVD GaN on Si wafer in same chamber without changing the hardware and breaking the vacuum.

*Deposition of GaN using GaCl3 with N2 plasma using PAALD, 44th ICMCTF conference at San Diego, Apr 2015.

**Patent US11087959B2

AF-MoP-41 ALD Infilling of Macroscopic Nanoporous Solids: Expanding Beyond Al2O3
Benjamin Greenberg, Kevin Anderson, Alan Jacobs, James Wollmershauser, Boris Feigelson (U.S. Naval Research Laboratory)
ALD of Al2O3 from trimethylaluminum (TMA) and water has many advantages as an infill process for macroscopic nanoporous solids. Both TMA and H2O are relatively small molecules that diffuse relatively quickly through nanopores, and their excellent stability at ALD temperatures prevents decomposition during infiltration, which for macroscopic substrates can take several minutes regardless of molecule size. Additionally, the production of approximately 1.5 moles of methane for every mole of TMA consumed enables relatively straightforward monitoring of deposition progress with the ALD chamber pressure gauge. Many potential nanocomposite applications, however, require infills other than Al2O3 with specific optical, electronic, or chemical properties. In this work, we infill macroscopic (>1 mm thickness) nanoparticle networks (e.g., ~100 nm Al2O3 nanoparticles) with ZnO using diethylzinc (DEZ) and water or with SiO2 using bis(t-butylamino)silane (BTBAS) and ozone, and we compare our results to those obtained from Al2O3 infilling. We explore strategies for achieving uniform and pure infills despite larger and less stable precursor molecules as well as methods for confirming infill completeness in the absence of obvious reaction/saturation signals in the chamber pressure readings. We determine infill uniformity and composition via analysis of nanocomposite mass and color as well as electron microscopy, energy-dispersive X-ray spectroscopy, X-ray diffraction, and electrical conductivity measurements.
AF-MoP-42 Properties of VHF PEALD Silicon Nitride Film Deposited by Precursors with Different Amino Ligands
Seung Yup Choi, You Jin Ji, Hae In Kim, Ji Eun Kang (Sungkyunkwan University); Albert Ellingboe (dublin City University); Haripin Chandra, Chang-Won Lee (EMD Electronics); Geun Young Yeom (sungkyunkwan University)
Silicon nitride film is widely used in semiconductor and display industry as gate spacer and encapsulation layer, etc. Among various deposition methods, plasma enhanced atomic layer deposition (PEALD) methods using Si precursor with nitrogen plasma are attracting attention due to advantage of low temperature deposition in addition to excellent thickness control, conformality, etc. as the ALD method. Among different Si precursors for silicon nitride PEALD, chlorosilane precursors commonly used in PEALD processes have corrosive reactants and particle issues for their byproducts. Amino silane precursors, compared to chlorosilane, can be used for lower temperature deposition but film conformality using aminosilane with N2 plasma is known to be one of the biggest challenges. In this study, to overcome such challenge, two amino silane precursors with different types of ligands were studied for SiN x thin film properties deposited by PEALD using N2 plasma excited by very high frequency (VHF, 162MHz). Compared to BTBAS having two amino ligands, DSBAS having one amino ligand showed prominent film properties. Silicon nitride thin film grown by DSBAS showed smoother surface, higher density, higher breakdown field, lower wet etch rate and higher growth per cycle (GPC) than BTBAS due to its structural feature. Furthermore, the fact that DSBAS films at 300℃ has less impurities such as carbon was revealed through XPS analysis. Also, DSBAS exhibited remarkable step coverage when deposited on high aspect ratio (30:1) trench pattern at 300℃.
AF-MoP-43 Influence of Metal Precursors on the Low-Temperature Crystalline Vanadium Oxide Synthesis Using Oxygen Plasmas
Adnan Mohammad, Krishna D Joshi, Dhan Rana, Saidjafarzoda Ilhom, Barrett Wells, Boris Sinkovic (University of Connecticut); A. K. Okyay (Stanford University); Necmi Biyikli (University of Connecticut)

Vanadium oxide shows phase-change behaviors at different stoichiometries including the metal-insulator transition (MIT) for VO2around 70 °C shifting between monoclinic to tetragonal rutile structure phase. Such materials have the potential to be used in low power opto-electrical switches and in memory devices. The ALD reports in the literature show VOx growth mainly via thermal atomic layer deposition (ALD) using TEMAV and VTIP metal precursors and water vapor or ozone as co-reactant and the as-grown VOX films are mostly amorphous. Post-deposition thermal annealing at comparatively elevated temperature (typically higher than 500 °C) is performed to transform the as-grown amorphous films to different crystalline structures. However, no significant report is yet noticed on low-temperature as-grown crystalline VOX films grown by thermal or plasma-ALD.

Our aim in this work is to demonstrate as-grown crystalline VOx films using a hollow-cathode plasma HCP-ALD reactor at substrate temperatures 150 °C and to further improve the crystalline quality and transform the phase structure of the deposited VOx film into the desired VO2 stoichiometry. We have grown crystalline V2O5 thin films at 150 °C using TEMAV as the vanadium precursor and O2 plasma as the oxygen co-reactant. The recipe for the plasma-ALD experiments was as the following: 0.250 s of TEMAV pulse with 10 sccm of N2-carrier flow, 50 sccm Ar-purge for 10 sec, 50 sccm O2 plasma for 10 s, plasma power 50- 300 W, followed by another 10 s of Ar purge. The TEMAV precursor cylinder is heated at 115 °C to provide enough TEMAV precursor in the reactor. The resulting films are crystalline V2O5 with a growth per cycle (GPC) value reaching ~2 Å and a refractive index of 2.45. The corresponding growth process has been real-time monitored with in-situ ellipsometry depicting the individual chemisorption and ligand exchange surface reactions.

We have also experimented the VTIP precursor grown vanadium oxide thin films via HCP-ALD process (with 0.09 s dose and heated at 60 °C) under the same plasma parameters and substrate temperature. The as-grown film is still V2O5 with a refractive index ~2.55. While comparing the VOX films grown by TEMAV and VTIP precursor, it was noticed that TEMAV experiments resulted in higher GPC compared to the VTIP experiments. We have performed post-deposition thermal annealing and were able to obtain VO2 phase at 500 °C.

We will present a detailed optical, structural, and electrical characterizations to explore both the similarities and differences between the TEMAV and VTIP metal organic precursor grown VOX thin films.

View Supplemental Document (pdf)
AF-MoP-47 Characterizing TEMAZ and TBTEMT for ALD
Marjorie Sarad, James Daubert, Kamaron Cheatham, Thomas Adam, James Kelliher (Northrop Grumman)

In this work, we studied the atomic layer deposition of ZrO2 and Ta2O5 using Tetrakis(ethylmethylamino)Zirconium (IV) (TEMAZr) and (tert-butylimido) tris(ethylmethylamido) Tantalum (V) (TBTEMTa) respectively with water as an alternative to Tetrakis(dimethylamido)zirconium(IV) (TDMAZr)and Tris(diethylamido)(tert-butylimido)tantalum(V) (TBTDETa). The new precursors were evaluated because they offer higher thermal stability than the existing precursors. These precursors offer a lower vapor pressure which produce films properties that were similar. We explored the deposition rate of ALD ZrO2 and Ta2O5 in the temperature range of 80˚C - 200˚C which produced amorphous films. We report on the film properties of deposited and annealed films as measured by ellipsometry, X-ray diffractometer and Toho 2320-S stress gauge. The films were annealed to determine a change in roughness and establish temperature the film changes to polycrystalline. We investigated the wet etch rate for both BOE and HF, and how those changes with annealing. Metal Insulator Metal capacitors (MIMCAPs) were built to measure the films’ electrical properties in terms of capacitance, leakage, and breakdown voltage were measured and evaluated after forming gas anneal for a 300 Å film. The dielectric constant was calculated from the capacitance-voltage measurement.

The ZrO2 film deposited by wither precursor TEMAZ or TDMAZ behaves similar, both crystallizes at 300˚C and neither deposited film etches with HF. The ZrO2 film, however, does etch with BOE and a linear decrease on the etch rate is measured when deposited at higher temperature. Films deposited at 80˚C and 120˚C had no change in stress after annealing, but the stress of the 200˚C deposited film became twice as tensile after annealing.

The TBTEMTa precursor achieved enough vapor pressure at 125˚C for uniform deposition from 80˚C to 200˚C. The TBTDETa precursor needed the boost system to get sufficient vapor pressure at 120˚C for a uniform film. Both old and new precursor did not show any film removal with BOE. At lower processing temperature, Ta2O5 easily etches with HF. However once furnace annealed at 750˚C for an hour, the etch rate decreases by 30% and we report on the WER and stress. The films deposited at 80˚C had a density change after a 750˚C anneal for an hour, and the stress becomes more tensile after further annealing of the film. The Ta2O5 films deposited at 120˚C and 200˚C deposition had no change in density even after 5hr at 750˚C, but the stress becomes more compressive. We will compare the effects of rapid thermal annealing (RTA) with shorter time against diffusion furnace anneal.

AF-MoP-48 ALD Film Closure and Thickness by Low Energy Ion Scattering
Rik ter Veen, Karsten Lamann, Michael Fartmann, Birgit Hagenhoff (Tascon)

The applications of ALD have continuously been expanding. Whereas this deposition technique was initially focusing on the manufacturing of thin films for semiconductor applications on flat samples, currently ALD is used in a variety of fields, with many different substrate topologies. ALD has been used amongst others to manufacture pillar structures, to deposit metals on catalyst supports with high specific surface area, and in the application of coatings to protect cathode materials in Li ion batteries.

When the applications of ALD are expanding, characterization techniques need to follow this trend. Low Energy Ion Scattering (LEIS) does this. LEIS is a chemical analysis technique that is specific to the outermost atomic layer on a sample, making it the most surface specific chemical analysis technique in existence. This makes it particularly suited to determine film closure. The one monolayer specificity gives LEIS a distinct advantage in the determination of film closure over other techniques (e.g. ellipsometry, XRF). These other techniques can determine how much is deposited, but cannot tell the difference between one closed layer and a half closed double layer.

The presentation will first reporton LEIS applications to thin films deposited on flat samples (the nucleation behavior of GaSb films on SiOx, figure 1). The second part of the presentation will focus on expanding the applicational range of LEIS to thin films on rough materials and particles with a chemically more complex composition. Samples are taken from cathode material for Li ion batteries, in particular, AlOx films deposited on LiMnNiCoOx (figure 2).

View Supplemental Document (pdf)
AF-MoP-49 Development of HF-Free YF3 ALD Process and Its Dry Etch Resistance
Sunao Kamimura, Takashi Teramoto (Air Liquide Laboratories); Takashi Ono (Air Liquide Advanced Materials); Christian Dussarrat (Air Liquide Laboratories); Nicolas Blasco (Air Liquide Advanced Materials); Nicolas Gosset (Air Liquide Laboratories); Grigory Nikiforov (Air Liquide Advanced Materials)

Aluminum-based ceramics have been extensively used in semiconductor plasma processing equipment as plasma-facing materials. However, these materials are eroded by corrosive fluorocarbon plasmas, resulting in the production of contaminant particles on the wafer. In order to solve this problem, yttrium oxide (Y2O3) and yttrium fluoride (YF3) coatings have recently attracted substantial attention due to their high resistance to erosion in plasma, especially plasma etch, avoiding the generation of fluoride particles from the chamber wall surface, thereby reducing particulate contamination.

Atomic layer deposition (ALD) is a thin film coating method that enables conformal dense and pinhole-free film deposition even for the complex structures like showerheads. However, the formation of YF3 thin films by ALD has been challenging since common fluorine sources such as HF are generally dangerous and corrosive, hence could lead to permanent damage to the chamber of semiconductor plasma processing equipment.

We have carried out the screening of several types of organometallic yttrium precursors for ALD, and have succeeded in depositing an ALD YF3 film using a novel F containing yttrium organometallic precursor called Ybeta-prime in combination with O3 as the co-reactant. These precursors are introduced sequentially, leading to a HF-free YF3 thin film coating process. The YF3 thin film growth was confirmed by XPS measurements, and it revealed that growth per cycle (GPC) increases as reactor temperature increases. The refractive index of deposited YF3 thin film was constant with the deposition temperature, its value being consistent with bulk YF3 value. Dense, uniform, conformal hydrophobic (WCA > 90 degrees) films are obtained at the range of temperature between 225oC and 300oC. SEM was used to measure step coverage of the ALD YF3 film deposited at 275oC in a 1:6.25 aspect ratio structure. The SEM image shows excellent step coverage (top: 22 nm/bottom: 22 nm), opening interesting perspectives for industrial applications requiring high conformality. This contrasts with YF3 films obtained through CVD processes.

The erosion behavior of YF3 coupon was analyzed under representative plasma etching conditions, using the same bias power and processing gases (CF4 and O2) where high density CF4/O2 plasma are produced (RF source power: 1300 W. RF bias power: 200 W). Etch rates of YF3 thin film was one order of magnitude lower than Al2O3 thin film. Furthermore, Y2O3 thin film prepared using an ALD technique was used to compare the surface erosion behaviors with YF3 film.

We are uncovering a new class of HF-free metal fluoride processes that go well beyond yttrium.

View Supplemental Document (pdf)
AF-MoP-50 Role of Ga Doping in IZO Films Grown by Atomic Layer Deposition
Ae-Rim Choi, Il-Kwon Oh, Yi Ji Jeong, Dong Hyun Lim (Ajou University); Seiyon Kim, Seung Wook Ryu, Dohee Kim (SK Hynix, Korea)

Recently, DRAM devices have faced physical limitations of scaling down, involving inhigh leakage current. Thin film transistor (TFT) with a indium-based multi-component oxide semiconductor has been suggested to replace conventional 1T1C DRAM structure. For example, InZnO (IZO) films have high field-effect mobility, optical transparency, high conductivity, andhigh mobility.[1,3] Further,Ga doping into IZO films improves electrical properties.[4] Ga–O bonds, which are stronger than Zn–Oand In–O bonds, improve the controllability of carrierdensities in the nearly degenerate state.[5] However,in spite of its technical importance, the role of Ga doping has not been clearly unveiled. It would be because the lack of a proper fabrication method. Since doping concentration significantly affects electrical properties,4] the study of Ga-doped IZO films with deposition technique for precise controlling of chemical composition needs more attention.

Because of excellent conformality and thickness control, atomic layer deposition (ALD) is suitable for thin film deposition on complex nanostructures. In this work, we investigate ALD IZO films with gradual change of Ga contents, to elucidate the role of Ga doping. For the fabrication of Ga-doped IZO films, we employ super cycles consisting of multiple sequential steps of In2O3, Ga2O3, and ZnO, by (CH3)2In(CH2)3N(CH3)2, Ga(CH3), and (C2H5)2Zn precursors, respectively. The chemical composition is investigated by X-ray photoelectron spectroscopy (XPS). Grazing incidence X-ray diffraction (GI-XRD) is performed to study the crystallinity. Transmission electron microscopy (TEM) is also performed for interfacial analysis between gate insulator and channel layer and between channel layer and metal. TFT devices are fabricated by photolithography and the electrical properties of Id-Vg curves are measured using B1500A semiconductor analyzer. We compare the performance of ALD IZO TFT devices with gradual increase of Ga doping and discuss the availability for next generation 3D DRAM devices.

[1] A. Belmonte et al, IEEE International Electron Devices Meeting (IEDM), (2020)

[2] H.-J. Ryoo. et al, Nanotechnology 32 (2021)

[3] K. Makise et al, J. Appl. Phys. 116 (2014)

[4] T. Yoshikawa et al, Appl. Phys. Express, 6 (2013)

[5] J. H. Lim et al, Scientific Reports, 7 (2017)

AF-MoP-51 The Effects of in-situ Atomic Layer Annealing on Thermal Atomic Layer Deposited Silicon Nitride
Dan Le, Su Min Hwang, Jin-Hyun Kim (University of Texas at Dallas); Jeffery Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas); Marshall Benham (RASIRC); Si-Un Song (University of Texas at Dallas); Rino Choi (Inha University)
We demonstrated the deposition of thermal ALD SiNx over a wide range of deposition temperatures using anhydrous hydrazine (N2H4) as the nitrogen source.1 Although the N2H4–based process was able to deliver highly conformal thin films with an enhanced growth rate and improved film properties compared to ammonia (NH3), the wet etch resistance was still undesirable.

Herein, we examined the impact of the in-situ atomic layer annealing (ALA) process utilizing Ar plasma on thermal ALD silicon nitride (SiNx) thin films. The growth characteristics, wet-etch rate (WER), bonding information, and conformality over different aspect ratio (AR) nanotreches of the SiNx with in-situ plasma cycles were carefully investigated and compared to the reference process (thermal ALD only).

When the in-situ plasma treatment was introduced in the thermal ALD process, the growth rate of SiNx was reduced by ~45% compared to the standard procedure. While SiNx deposited via the standard ALD process exhibited a growth rate of ~0.05 nm/ cycle, the ALD/ALA process delivered thin films with a growth per cycle (GPC) of ~0.022 nm/ cycle between 320–480 °C. Despite the decrease in growth rate, the addition of in-situ ALA treatment resulted in notable enhancements in the deposited SiNx thin film properties, such as improved reflective index (RI), lower wet etch rate (WER,) and increase in film density. At 410 °C, the RI increased from 1.80 to 2.00, suggesting thin films achieved with ALD/ALA process would be more Si-rich than thermal-ALD. Furthermore, while the WER of SiNx decreased from 12.9 nm/ min to 0.69 nm/min (evaluated in diluted HF 200:1), the film density increased from 2.61 to 2.80 g/ cm3. Ex-situ FTIR was employed to further investigate the effects of in-situ atomic layer annealing on embedded –NHx (x=1,2) bonds within the deposited thin films. Compared to the reference sample, the thin films that deposited with the additional plasma cycles showed a slightly lower –NHx bond density. Furthermore, the conformality of ALD/ALA SiNx thin films was also evaluated using ~6:1 AR trench structures. The TEM cross-sectional images showed >80% conformality of SiNx thin film could be achieved when incorporating the in-situ plasma treatment into the ALD process. The experimental details and results will be presented.

We would like to express our gratitude to RASIRC Inc. for their financial support and for providing BRUTE hydrazine source.
[1] ALD 2021, Paper Number: 69751.
View Supplemental Document (pdf)
AF-MoP-52 Low Toxicity Electron Transport Layer of Atomic Layer Deposited TiO2 and SnO2 for Sb2S3 Thin Film Solar Cells
Yong Tae Kim, Pravin Shivaji Pawar, Jaeyoung Heo (Chonnam National University)

In antimony sulfide (Sb2S3) thin-film solar cells (TFSCs), the hole transport layer (HTL) is an important parameter to minimize interface defects at the Sb2S3/metal interface, thus providing better charge carrier extraction. However, HTL materials are highly expensive and toxic and demand a controlled atmosphere. In addition, they are susceptible to the humid environment, thus resulting in reduced performance. Recently, the application of double buffer layers has been proven to be a beneficial approach for the enhancement of the power conversion efficiency (PCE) of Sb2S3 TFSCs. Herein, atomic-layer-deposited (ALD) SnO2 and TiO2 ETLs were applied as a double buffer layer with CdS for Sb2S3 TFSCs. The Sb2S3 absorber was deposited using a facile hydrothermal method. The TFSC devices were fabricated based on FTO/SnO2/CdS/Sb2S3/Au or FTO/TiO2/CdS/ Sb2S3/Au structure without HTLs. Experimental analysis revealed the reduction of the surface roughness of ETLs and decreased unfavorable (hk0) orientation of the Sb2S3 absorber after utilizing double buffer layers. Initially, incomplete nucleation of Sb2S3 was observed on SnO2 and TiO2 ETLs, which resulted in the formation of a shunting path. Conversely, complete nucleation of Sb2S3 was observed on CdS and double buffer layers. The highest PCEs of 3.98% and 4.23% were obtained for SnO2/CdS and TiO2/CdS double-buffer-layer-based cells with improvements exceeding 1% compared with the reference CdS buffer layer. Additionally, improvements in open-circuit voltage (VOC) of the order of ~25 mV and ~45 mV were respectively observed for SnO2/CdS (VOC = 0.676 V) and TiO2/CdS (VOC = 0.696 V) double-buffer-layer-based devices compared with the reference CdS buffer layer (VOC = 0.648 V). The enhanced device properties are mainly attributed to the improved charge carrier collection and formation of suitable band offset at the absorber and ETLs interfaces.

View Supplemental Document (pdf)
AF-MoP-53 Growth and Crystallization of Conductive srruo3 Films by Atomic Layer Deposition Depending on the Substrates
Youngsin Kim, Cheol Seong Hwang (Seoul National University, South Korea)
This study reported the properties of SrRuO3 (SRO) thin films used as bottom electrodes of SrTiO3 (STO) dielectric films. The SRO has a close lattice match with the STO, making it a suitable electrode for STO crystallization.[1] The SRO films were grown via atomic layer deposition of SrO and RuO2 using Sr(iPr3Cp)2 and Rudense® as precursors, respectively, on different substrates. It was confirmed that the growth rate of RuO2 using the Rudense® precursor is relatively lower during the SRO deposition process compared to the conventional RuO2 deposition with the pulsed chemical vapor method using the ToRuS as the precursor.[2] Unlike the SRO films grown with ToRuS, which showed excessive RuO2 growth due to the catalytic characteristic of the intermediate metallic Ru, the SRO films grown with the Rudense® precursor did not show undesirable excessive growth since the catalytic effect was not involved.

The SRO films were crystallized with rapid thermal annealing (RTA) at 650oC in an O2 atmosphere after deposition. TiN, Pt, Si, and Al2O3 substrates were used to deposit the SRO. The atomic force microscopy (AFM) analysis (Figure 1) revealed that the surface roughness was highest and lowest when TiN and Al2O3, respectively, were used as the substrate. The SRO films deposited on the TiN substrate showed cracks on the surface when observed by the scanning electron microscope (SEM). In addition, the sheet resistance of the SRO sample deposited on the TiN substrate, measured by the 4-point probe, was about 100 times higher than samples using other substrates. The SRO peak was not observed with the grazing incidence X-ray diffraction (GIXRD) when Pt was used as the substrate. The SRO peak was only observed by GIXRD when the oxygen partial pressure was increased by more than 7 times. The transmission electron microscope (TEM) of the SRO film deposited on the Pt substrate revealed that the film was amorphous, although the aggregation characteristics were observed. It is presumed that the Pt crystal trapped the oxygen needed for the SRO growth, which suppressed the formation of the crystallized SRO.

References

[1] J. W. Han et al., “Growth of Conductive SrRuO3 Films by Combining Atomic Layer Deposited SrO and Chemical Vapor Deposited RuO2 Layers,” Chemistry of Materials, vol. 24, no. 24, pp. 4686–4692, Dec. 2012

[2] D. Y. Kwon et al., “Atomic layer deposition of Ru thin films using (2,4-dimethyloxopentadienyl)(ethylcyclopentadienyl)Ru and the effect of ammonia treatment during the deposition,” Journal of Materials Chemistry C, vol. 8, no. 21, pp. 6993–7004, Jun. 2020

View Supplemental Document (pdf)
AF-MoP-54 In-Situ Gas Monitoring of ALD Processes Using Remote Optical Emission Spectroscopy
Nessima Kaabeche (Gencoa); Carlos Guerra (Swiss Cluster); Joseph Brindley, Dermot Monaghan (Gencoa)

Effective and robust monitoring of individual gas concentrations during the ALD processes offer a unique insight into the process behaviour as well as being an important step in the eventual wide-spread industrialisation of the ALD technique.

Conventional quadrupole residual gas analysers have difficulty monitoring ALD processes due to the high process pressures and the presence of contaminating hydrocarbons contained within many ALD precursors. For these reasons monitoring of precursor gas concentrations during the ALD process is not often undertaken, especially at the production stage.

An alternative gas sensing technique that operates directly at pressures above 10-4 mbar has been built around remote plasma emission monitoring. This technique involves the generation of a small, remote plasma using an inverted magnetron placed within the ALD vacuum system. Consequently, species that are present within the vacuum become excited in the sensor’s plasma, emitting a spectrum of light, which can then be used to identify and monitor the emitting species. Importantly, this plasma, generated inside the sensor, has a sole function as a gas detector and does not affect the ALD process itself.

This work will demonstrate that the sensing method is robust when exposed to the ALD processing environment. Previous work had demonstrated the usefulness of this technique, but limitations were encountered when using a DC voltage to generate the sensor’s plasma as contamination and reduced sensitivity developed when used with certain precursors. This work will describe a novel method of generating the detector plasma using a high peak power, low duty cycle pulsed voltage. It will be demonstrated that the pulsed power technique is more effective than DC in preventing contamination of the sensor’s electrodes as well as improving the detection sensitivity of common ALD precursors and their reaction by-products.

Examples of this sensing technique’s practical uses for Al2O3 processes are discussed; this includes detection of contaminants, optimising purge cycle length and monitoring the reaction dynamics in terms of precursor gas consumption and reaction by-products.

AF-MoP-55 The Application of Rare-Earth Metals as ALD Precursors
Yu-Chieh Pao (Industrial Technology Research Institute); Bryan Yong-Jay Lee (Industrial Technology Research Insitute)
Rare-earth metal compounds have exquisitely unique electronic and magnetic properties that make them irreplaceable in the field of semiconductor, manufacturing, chemical industry, etc. As the technology trend is focused towards downscaling the size of transistors, rare earth oxides are becoming increasingly important in the field of microelectronics due to large band gaps, high dielectric constants, and good thermodynamic stability on silicon. Therefore, rare-earth metal oxides such as La2O3 can be utilized as the gate dielectric layer in field effect transistors.
The advantages of atomic layer deposition (ALD) such as high conformality, high uniformity, and precise thickness control, are all important when trying to downscale the size of transistors. As a result, the development of ALD precursors HAS attracted much attention. From a molecular design point of view, by selecting the appropriate type of ligand and modifying the substituent group of ligands, properties such as thermal stability, vaporization rate, reactivity and purity of resulting thin film can be readily adjusted. The design and application of rare-earth element-related ALD precursors will be introduced in this paper.
AF-MoP-57 Characterization of Snox Thin Films Deposited by Atomic Layer Deposition
Taekjib Choi, Sangwoo Lee, Jeonghee Yun (Sejong University); In Gyu Choi, Byeong Seong Cho, Jaeyoung Yang (TES Co., Ltd.)
Metal oxide photoresists are currently being considered as alternatives to chemically amplified resists. They consist of a metal oxide core surrounded by ligands that tune solubility, crosslinking and other properties and provide high etch resistance. In particular, organotin compounds are highly sensitive to extreme ultraviolet (EUV), enabling high-resolution patterning. The strength and dissociation mechanism of C-Sn bonds are factors that can be related to EUV photosensitivity. And SnOx photoresists are presented as EUV resist with high absorptivity and etch resistance. SnOx thin films deposited by TALD and PEALD were compared under different deposition temperatures. We analyzed the optical, chemical, and electrical properties of the SnOx thin films under various deposition conditions, focusing on the influence of the carbon ratio. Additionally, we will evaluate and discuss their etch properties.
AF-MoP-58 Laser Diagnostics of Plasma Surface Interactions
Mruthunjaya Uddi (Advanced Cooling Technologies); Arthur Dogariu (Texas A&M University); Eugene Kudlanov (Advanced Cooling Technologies); Gerardo Urdaneta (texas A&M University); Yue Xiao, Devon Jensen, Chien-Hua Chen (Advanced Cooling Technologies)

Plasma surface interaction has been a critical area of research for many applications such as Plasma-Enhanced Atomic Layer Deposition (PEALD). To meet the demanding needs of more advanced atomically controlled microfabrication methods, the physics of PEALD needs to be better understood to enable high quality, repeatable and controllable deposition process. Several challenges that need to be addressed regarding PEALD include damage to the substrate from highly energetic species and UV radiation, need for precise amorphous/crystalline modulated selective layer deposition, conformality in coating non-uniform substrates, achieving an aspect ratio of >100, repeatability and controllability of the finish. To address these challenges, we are developing laser diagnostics methods to measure species over substrates by advanced laser diagnostics such as femtosecond- Two-Photon Absorption Laser Induced Fluorescence (fs-TALIF) to image N atoms over substrates. Here we present measurements of N atom densities over a substrate with high spatial (< 10 microns) and time resolution (<1 ns) using fs-TALIF at pressures of 5-150 mTorr.

View Supplemental Document (pdf)
AF-MoP-59 Density-Functional Theory Modeling for Thermal Atomic Layer Etching of Cobalt with Hexafluoroacetylacetone Chelation
Somin Chae, Sangheon Lee (Ewha Womans University)
In this study, a thermal atomic layer etching process for Co comprising two steps––plasma chlorination and chelation with hexafluoroacetylacetone (Hhfac)––was developed. We investigated the thermodynamics associated with the adsorption of Hhfac on chlorinated Co surfaces, and the subsequent removal of these surfaces based on the disk-like CoCl2 models. We employed a disk-like chlorinated Co surface model and identified thermodynamically favorable reaction pathways and governing factors controlling the overall etching process. We found that thermodynamically the most favorable reaction pathway proceeded via the adsorption of hfac on a low-coordinated surface Co site followed by the desorption of CoCl2hfac cluster; the adsorption and desorption steps were thermodynamically uphill and downhill, respectively. We also found that the kinetic energy barrier for the Hhfac adsorption step tended to be noticeably greater than that for the CoClx(hfac)y desorption step. These calculation results suggest that the Hhfac adsorption step is the rate-determining-step in the chelation process of chlorinated cobalt surfaces. Finally, we suggest that the strong tendency of the hfac-induced sp3 hybridization of Co is a governing factor in the thermodynamics of etching the chlorinated Co layer with Hhfac as an etching gas.
AF-MoP-60 Study on Phase and Chemical Bonding of Molybdenum Film Grown by Atomic Layer Deposition
So Young Kim, Chunghee Jo, Hyerin Shin (Yonsei University); Min-ho Cheon, Kyu-beom Lee, Dong-won Seo, Jae-wook Choi (Hanwha Corporation); Heungsoo Park (BIO-IT Micro Fab Center); Dae-Hong Ko (Yonsei University)

As the semiconductor integration has advanced, there have been limitations in selecting candidates for interconnect metals because of the exponential increase in metal resistivity at scaled pitches. Tungsten and copper are the most widely used materials for back-end contact vias and metal lines. However, their resistivity increases up to ~191) and ~22 μΩcm2) at 10 nm thick film while their bulk resistivity are as low as 5.28 and 1.67 μΩcm, respectively. Therefore, there are needs for finding metals with lower resistivity for contact and back-end metal at tight pitch, which has led to the emergence of molybdenum, cobalt, and ruthenium as promising alternatives over traditional metals. Among those next generation metals, molybdenum has the lowest product of electrical resistance and electron mean free path(ρo×λ)3); it has a merit of having low resistivity, compared to copper and tungsten, as thickness reduces.

Since molybdenum film grown by atomic layer deposition(ALD) has not yet been actively studied, we investigated the phase and chemical bonding states of molybdenum film at different thickness. We also examined the feasibility of molybdenum nitride as the diffusion barrier of molybdenum against silicon oxide. Molybdenum films and molybdenum nitride films were deposited by thermal ALD equipment, manufactured by Hanwha Corporation, using MoO2Cl2 precursor as molybdenum source and H2 and NH3 as reducing agent.

The phases of molybdenum were observed by grazing incidence x-ray diffraction(GIXRD) and the morphology and surface roughness of the thin films were observed by atomic force microscope(AFM). X-ray Photoelectron Spectroscopy(XPS) showed the Mo concentration and binding energy of the film. The sheet resistance obtained by 4-point-probe(4PP) and the thickness measured by X-Ray Reflectometry(XRR) were used to calculate the resistivity of the Mo film. The phase and binding energies were analyzed via GIXRD and XPS to confirm the successful growth of pure Mo film. As-deposited 10 nm-thick Mo film showed standard XRD peaks for polycrystalline-Mo phase. In addition, ALD-grown Mo films showed low resistivity of ~13 μΩcm with 10 nm thickness while it increases up to ~30 μΩcm when the film thickness become as low as 6 nm.

1) D. Choi, B. Wang, S. Chung, X. Liu, A. Darbal, A. Wise, N. T. Nuhfer, K. Barmak, A. P. Warren, K. R. Coffey and M. F. Toney, J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 29 [5], 051512 (2011).

2) J. W. Lim and M. Isshiki, J. Appl. Phys. [ DOI:10.1063/1.2194247].

3) D. Gall, J. Appl. Phys. 119 [8], 1 (2016).

AF-MoP-61 Area-Selective Atomic Layer Deposition of Silicon Nitride for Nand Flash Memory with a Very High-Frequency Plasma Source
Min-Jeong Rhee (Ajou University); Woo-Jae Lee (Pukyong National University); Il-Kwon Oh (Ajou University); Geonwwook Yoo (Soongsil University, Seoul); Junseok Heo (Ajou University, Suwon)

Silicon nitride (SiNx) thin film has been used as a charge trap layer (CTL) in 3D NAND flash memory devices. Because thermal atomic layer deposition (ALD) demands a relatively high temperature, SiNx is mostly deposited via the plasma enhanced-ALD (PE-ALD) technique for low impurity contents. However, due to energetic radicals in plasma, PE-ALD usually produces low step coverage and bottom layer damage. In NAND flash memory, damage to the SiNx of the bottom layer can lead to tunnel oxide degradation and a reliability problem. Therefore, the development of a SiNx process with high step coverage and low damage to the bottom substrate while maintaining the advantages of a low deposition temperature is required. In addition, in scaled 3D NAND flash devices, the isolation of each CTLs is required for device reliability by reducing cell-to-cell interference. However, the conventional top-down photolithography cannot achieve topological the formation of patterns or selective growth of thin films, where patterned films should be grown vertically separated on the tunnel oxides.

In this study, we develop the area selective ALD (AS-ALD) process of SiNx films through very high frequency (VHF) plasma. We used bis-diethylamino silane (H2Si((N(C2H5)2)2) as a precursor and N2 plasma as a reactant. The process using radio frequency (RF, 13.56 MHz) will be comparatively discussed with that using VHF, 60 MHz by chemical composition, step coverage, and damage of the thin film through X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). For AS-ALD, the inhibitors are sequentially deposited prior to each PE-ALD cycle, and the selectivity of each cycle was calculated. For a more accurate selectivity analysis, the selectivity between the metal substrate and the oxide substrate was analyzed through TEM. This comparative research and the AS-ALD process of SiNx show the applicability of VHF PE-ALD in NAND flash memory, which requires high step coverage, low damage, and high capacity.

AF-MoP-62 Growth Mechanism of Ge-Sb-Te Thin Films by Supercycles of ALD GeTe and Sb2Te3
Okhyeon Kim, Yewon Kim, Hye-Lee Kim (sejong University); Chang Yup Park, Dong Ho Ahn, Bong Jin Kuh (Samsung Electronics Co., Ltd.); Won-Jun Lee (Sejong University)

Recently, a three-dimensional vertical architecture has been proposed to increase the integration and productivity of phase-change random-access memory (PCRAM) devices. Atomic layer deposition (ALD)technology is essential to prepare memory and switching materials on a high-aspect-ratio hole pattern with uniform thickness and composition. Since the ALD of Ge-Sb-Te (GST) film was reported by supercycles of ALD of GeTe and Sb2Te3 using an alkylsilyl Te compound [1], various ALD supercycle processes were reported using alkylsilyl Te compounds. In the ALD supercycle process of GST, GeTe thin films should be grown on Sb2Te3 thin films, and Sb2Te3 thin films should be deposited on GeTe, which is significantly different from the case of continuous growth of GeTe or Sb2Te3 film [2]. Therefore, in this study, we investigated the growth behaviors of ALD GeTe and Sb2Te3 during the supercycle process. The in-situ quartz crystal microbalance (QCM) analysis expected a Ge-rich, Te-deficient composition of the GeTe1-x thin film grown on Sb2Te3 films. To produce a stoichiometric Ge2Sb2Te5 thin film by supercycle process, we controlled the ratio of GeTe1-x and Sb2Te3 subcycles and then annealed the deposited film in a Te ambient. As a result, a high-density stoichiometric Ge2Sb2Te5 thin film was produced on a high-aspect-ratio pattern with a uniform thickness and composition.

Session Abstract Book
(545KB, Jul 29, 2023)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2023 Schedule