ALD2023 Session AA-TuP: ALD Applications Poster Session

Tuesday, July 25, 2023 5:45 PM in Evergreen Ballroom & Foyer

Tuesday Evening

Session Abstract Book
(582KB, Jul 29, 2023)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2023 Schedule

AA-TuP-1 Improved Properties of the SrRuO3 Electrode by Controlling Annealing Conditions and Adopting Al-doping
Junil Lim, Cheol Seong Hwang (Seoul National University)

This study reports on the properties of SrRuO3 (SRO) thin films used as a bottom electrode of SrTiO3 (STO) dielectric film with a good lattice match[1]. SRO film was grown via atomic layer deposition of SrO and pulsed-chemical vapor deposition of RuO2 using the Sr(iPr3Cp)2 (iPr3Cp means 1,2,4-trisisopropyl-cyclopentadienyl) and RuO4 (product name ToRuS) precursors, respectively. Because oxygen-deficient SRO film was deposited, annealing in an O2 atmosphere was necessary for its crystallization. During annealing, the Ru of SRO film reacted with oxygen to form the volatile RuO4 (gas). In addition, many voids formed during the annealing by the agglomeration hindered its use as a bottom electrode. Al was doped in the SRO films to improve these properties, of which the optimal annealing conditions were searched. The effects of Al on the formation of the volatile RuO4 were experimentally and theoretically investigated. The theoretical calculation confirmed that the Al substituted with Ru (AlRu) in the SRO film improved the crystallinity of cubic-SRO. Thus, Al-doped SRO (ASRO) film had a larger grain size, higher crystallinity, and improved surface morphology. Furthermore, surface morphology improvements improved resistance properties (~ 1000 μΩ∙cm at a thickness of 25 nm).

Figure 1. (a) Resistivity of SRO and ASRO films after PDA as a function of thickness. (b) The internal energy (E) differences between crystalline (xtal) and amorphous (am) structures in pure, AlRu, and 2AlRu + oxygen vacancy (VO) SRO.

Acknowledgments This work was supported by the National Research Foundation of Korea (2020R1A3B2079882).

References [1] Jeong Hwan Han et al., Chem. Mater., 24, 4686-4692 (2012)

View Supplemental Document (pdf)
AA-TuP-2 Yttrium-doping in TiO2 Films for DRAM Capacitor Applications
Tae Kyun Kim, Cheol Seong Hwang (Seoul National University, South Korea)
This work reports on the electrical and structural behavior of Yttrium-doped TiO2 (YTO) thin film as a dielectric layer for a capacitor of dynamic random-access memory. Yttrium (Y) is one of the well-known doping elements for dielectric materials such as ZrO2 [1] and SrTiO3 [2] for improving their electrical performances. It could be anticipated that the doped Y ions in TiO2 thin films might reduce leakage current density by forming acceptor defects, as the doped Al in TiO2 did [3]. However, the doping effect of Y in TiO2 thin films appeared differently depending on the amount of dopant in one Y atomic layer deposited cycle. The doping concentration of Y ions was controlled by decreasing Y-source feeding time (Y F.T.) from its saturated feeding time (10 s) during the atomic layer deposition (ALD). Among different Y F.T.s, the best electric performance was observed when Y F.T. is 4s achieving equivalent oxide thickness (EOT) of 0.5 nm at physical oxide thickness (POT) of 8 nm (Fig. 1a) on the Ru bottom electrode. Moreover, the dielectric constant of YTO films increased when Y F.T. is 2s compared to TiO2 films. This effect was ascribed to the relaxation of lateral tensile strain in the TiO2 layer, induced by different thermal expansion coefficients of the TiO2 (8-11x10-6/K) and Si substrate (2.6x10-6/K). The size of Y3+ (Ionic radius: 104 pm) is larger than that of Ti4+ (74.5 pm), so tensile strain can be relaxed when the Ti ions are substituted with Y ions. However, Y2O3 particles were formed after 2s of Y. F.T. due to the low solubility of Y in TiO2, which decreased the dielectric constant (Fig. 1b). The relaxation of strain and Y2O3 formation can be supported by the change in the position of rutile TiO2 peaks from XRD data (Fig. 1c). These results indicated that the highly doped Y ions did not diffuse into the TiO2 layer well but formed Y2O3 particles, which limited the diffusion and increased EOT. Therefore, careful control of Y-doping concentration and profile is necessary to optimize the capacitor dielectric performance. View Supplemental Document (pdf)
AA-TuP-3 Non-Diffusive Phenomenon of Al and Y Doping in the ZrO2/Al2O3 and ZrO2/Y2O3 Bilayer Thin-Films and Its Influence on the Field-Induced Ferroelectric Properties
Haengha Seo, Jonghoon Shin, Junil Lim, Tae Kyun Kim, Heewon Paik, Cheol Seong Hwang (Seoul National University)

The emergence of the ferroelectric phase in the non-polar ZrO2 or doped-ZrO2 (e.g., HfxZr1-xO2) thin films under a sufficiently large electric field, which corresponds to the field-induced ferroelectric (FFE) transition, has led to a promising breakthrough to the future dynamic random access memory (DRAM) capacitor. A large extra charge can be stored reversibly in the DRAM capacitive layer by carefully manipulating the FFE materials.

However, the leakage current control for such a thin film (sub-10 nm) must be a bottleneck for using the FFE material in mass production. Therefore, inserting layer or p-type doping (e.g., Al2O3) technique has been introduced for leakage current suppression. However, there is a lack of research on how the FFE characteristic of the ZrO2 film would change in the presence of those aliovalent dopants.

It was reported that when the inserted Al2O3 layer was thicker than 0.3 nm (~monolayer) in the atomic-layer-deposited ZrO2/Al2O3/ZrO2 stack, the Al ions no longer diffused into the ZrO2 lattice but formed a separated Al2O3 layer.[1] On the other hand, Y2O3 layer insertion in the ZrO2 resulted in the full Zr-Y inter-diffusion even up to ~ 1 nm of the Y2O3 layer.

However, recent research showed that when the thin insertion layer was located on top of the ZrO2 (i.e., bilayer system), diffusion of the dopant did not occur regardless of the doping element. Furthermore, it was found that only a small amount of diffusion occurred in the ZrO2/Y2O3 case when the underlying ZrO2 was extremely thin (~ 4 nm). This finding is interesting because even a low density of Al or Y ions could stabilize the tetragonal-ZrO2 phase, thus eliminating the film’s FFE hysteresis loop at a given electric field. Indeed, introducing a thin Al2O3 or Y2O3 layer in the middle region of the ZrO2 film removed the FFE hysteresis loop of the film completely within the breakdown voltage range. On the contrary, both the ZrO2/Al2O3 and ZrO2/Y2O3 bilayer stacks showed the hysteresis loop with its magnitude of which the shape corresponds to the pristine ZrO2 layer of the same thickness.

Therefore, introducing a thin capping layer on the ZrO2 film rather than adding it in the middle should be a promising technique for controlling the leakage current issue while maintaining the FFE performance. The non-diffusive phenomenon also occurred when the layer was present underneath the ZrO2 layer. However, this bottom-layer structure did not guarantee the thickness or quality of the crystalline structure of the ZrO2 due to its different growth behavior on the insertion layer.

[1] H. Seo et al., Adv. Electron. Mater. 8, 7 (2022)

AA-TuP-4 Promoted Crystallization of SrTiO3 Thin Film for DRAM Capacitor by Inserting GeOx Buffer Layer in Ru/SrTiO3/RuO2 Capacitor
Heewon Paik, Cheol Seong Hwang (Seoul National University, Korea)

SrTiO3 (STO) is a perovskite material with an ultra-high dielectric constant(~300)[1]. However, STO has relatively low bandgap energy(3.2eV)[1] and is vulnerable to the formation of micro-crack during annealing at high temperatures, which could lead to high leakage current[2]. Therefore, carefully controlling the leakage current while securing high capacitance is necessary for STO to be used as a dynamic random access memory (DRAM) capacitor layer. This study presents the enhanced crystallization behavior of the STO thin film by inserting GeOx buffer layer between the STO and the underlying Ru bottom electrode. The STO film and GeOx buffer layer were deposited via atomic layer deposition (ALD) at 350℃, using Sr(iPr3Cp)2, Ti(CpMe5)(OMe)3, Ge(NMePh)(NMe2)3 as Sr-, Ti-, and Ge- precursors, respectively. Post-deposition annealing at 475~650℃ was conducted to form a perovskite crystal structure.

The crystallization of STO was initiated at a lower temperature (475℃) with the aid of GeOx buffer layer. As a result, the STO film with GeOx buffer layer (b-Ge-STO) crystallized at 575℃ with a k value of ~159. In contrast, STO without the buffer layer showed a mixed structure of amorphous and crystalline phase(k~71.7), which required a higher annealing temperature of 650℃ for better crystallization (k~129.5). As the leakage path formation mainly occurs during high-temperature annealing[2], the lowered annealing temperature could efficiently suppress the leakage current without any degradation of crystallinity. However, when GeOx buffer layer was inserted in the middle of the STO film (m-Ge-STO), it separated the upper and lower STO layers, and only the upper STO layer crystallized well at 575℃. As a result, the minimum equivalent oxide thickness values of b-Ge-STO annealed at 575℃ were 0.43nm, which could meet the leakage criteria for DRAM capacitor(<10-7A/cm2 at a capacitor voltage of 0.8V). The significance of this study is that GeOx buffer layer enabled controlling leakage current of STO without the conventional 2-step process involving the thin STO seed layer[2].

References

[1] Shim et al., J. Mater. Chem. C ,5, 8000 (2020)

[2] Kim et al., Phys. Status Solidi RRL, 1800557 (2019)

View Supplemental Document (pdf)
AA-TuP-5 Laterally Resolved LEIS for Surface Coverage Analysis in Porous Materials
Thomas Grehl, Philipp Brüner (IONTOF GmbH); Saeed Saedy (Chemical Engineering Department, Delft University of Technology); Jänis Järvilehto, Christine Gonsalves, Jorge Velasco (Department of Chemical and Metallurgical Engineering, Aalto University); J. Ruud van Ommen (Chemical Engineering Department, Delft University of Technology); Riikka L. Puurunen (Department of Chemical and Metallurgical Engineering, Aalto University)

One of the essential advantages of ALD is in many applications of minor importance – its ability to deposit on rough and porous materials far beyond the classical semiconductor applications. This is already exploited for heterogeneous catalysts and similar material systems. However, the characterization is challenging for many of the analytical techniques when it comes to judging the surface coverage and film thickness on internal surfaces. Still the coverage, nucleation behaviour/dispersion, thickness distribution etc. need to be assessed even inside the pores, much like for the planar surfaces in classical thin film deposition.

The surface sensitivity of Low Energy Ion Scattering (LEIS) is key to determining these properties on planar films. The same properties can be determined also on rough and insulating surfaces like catalyst supports, which are often porous oxides. In combination with cross-sectioning of these support materials and laterally resolved LEIS analysis, essential information is gained.

In this contribution, we will illustrate the approach by reporting results from cross-section analysis of mesoporous γ-alumina spheres which were impregnated with Pt in an ALD process. Depending on the conditions, the deposition extends more or less deep into the sphere. LEIS can quantify the surface coverage of the Pt, which is the active phase of many catalysts, in a laterally resolved way and therefore allows to study the precursor exposure inside the porous material.

Another application of this approach is the analysis of deposition on PillarHallTM chips, which are used as a vehicle to study the precursor transport and exposure in general. Also here, not only the deposited amount of material, but more precisely the coverage and thickness of the (incomplete) film is evaluated as a function of diffusion length.

AA-TuP-6 Group III-Nitride Semiconductor Materials Made by Plasma Atomic Layer Deposition
Noureddine Adjeroud (Luxembourg Institute of Science and Technology (LIST))
III-N semiconductors are largely present in the industry, through chemical vapor deposition (CVD) techniques such as Metalorganic vapour-phase epitaxy (MOVPE). Those deposition techniques use conventionally the reaction of ammonia (NH3) with industrially relevant precursors such as trimethylaluminum (TMA), trimethylgallium (TMG) or trimethylindium (TMI) at high temperatures (750-900 °C) [1]. Plasma-assisted Atomic Layer Deposition (PA ALD) is becoming a trustworthy alternative to the standard CVD deposition techniques, and it appears as a solution for highly conformal coating and low temperature processing as two important assets to tackle growth temperatures of the nitride films not interfering with CMOS circuitry.

This work is based on the optimization and engineering of the plasma ALD of c-axis highly oriented aluminium nitride (AlN) films we proposed [2]. The pathway was further extended to facilitate low temperature (<450 °C) deposition of other III-N materials, such as GaN and InN semiconductors with wide and small bandgap respectively. In this work we utilized a gas mixture of H2/Ar/N2 as nitrogen precursor for nitride thin films initiated by a plasma source. The mix of Ar and H2 allows both to stabilize the plasma phase and to induce an optimized reducing of the ligands of the organometallic precursors reducing the carbon contaminants measured in the materials. We observed by XPS stoichiometric III-N films with no detectable carbon contaminants and also a low level (<5%) of oxygen contaminants in the nitride films. The XRD and TEM analysis confirm privileged hexagonal crystalline structure of the thin film below 100 nm thickness.

Insights on the ALD set-up and specific sequence of the deposition process will be presented for the growth of aluminium nitride (AlN), gallium nitride (GaN), indium nitride (InN), and ternary III-N films.

Those results have the potential to pave a way for both buffer-oriented seed films for post-growth, and also films with tailor made electronics properties for the next-generation of III-Nitride/CMOS components and functional coatings with piezoelectric and semiconducting properties for MEMS applications with transducing capabilities.


Reference list
[1]. A. V. Kondratyev et al., physica status solidi (c), volume 5, issue 6 (2008)
[2]. Tai Nguyen, Noureddine Adjeroud, Sebastjan Glinsek, Yves Fleming, Jérôme Guillot, Patrick Grysan, and Jérôme Polesel-Maris, APL Materials 8, 071101 (2020)
AA-TuP-7 High-Temperature High-GPC SiO2 Gap-Filling by Thermal ALD Using Novel Si Precursors
Wonyong Koh, Jin Sik Kim, Byung-Kwan Kim, Jun Hwan Choi (UP Chemical Co., Ltd.)
SiO2 gap-filling by high-temperature ALD are used for semiconductor device manufacturing, especially for 3D-NAND Flash memory devices. Excellent step coverage is necessary to fill gaps with very high aspect ratio. Stress control and other requirements necessitate high deposition temperatures over 600°C. Newly developed Si precursors show high ALD growth-per-cycle (GPC), which is stable over wide temperature range between 600 and 800°C. It is in contrast of ALD using tris(dimethylamino)silane (3DMAS), of which GPC is smaller and the GPC is not maintained at temperatures higher than 750°C. Novel Si precursors show better step coverage than 3DMAS despite of more than twice larger GPC than 3DMAS. SiO2 ALD films from novel precursors also show the same or better film characteristics such as wet etch rate, shrinkage, density, etc. compared against SiO2 ALD films from 3DMAS at same temperatures using tube furnace type ALD reactor with O3. Experimental results are presented and compared against those of 3DMAS.
AA-TuP-9 Molecular Layer Deposition of Lithium-Containing Polymeric Coatings for Superior Lithium Metal Batteries
Xin Wang, Xiangbo Meng (University of Arkansas)

Lithium (Li) metal is currently among the most attractive anodes of rechargeable batteries, ascribed to its extremely high capacity of 3860 mAh/g and the lowest negative electrochemical potential (-3.04 V versus the standard hydrogen electrode).1 However, the dendritic growth and continuous formation of solid electrolyte interphase (SEI) have prohibited Li metal from commercialization. Surface coating remains as a facile and effective route to secure stable Li metal anodes. Recently, we for the first time designed and developed a novel cross-linked lithium-containing polymeric coating (LiGL, GL = glycerol) via molecular layer deposition (MLD), enabling excellent protection effects over Li metal anodes.1 MLD features its controllable film growth with excellent uniformity and conformality.2 Our results revealed that the Li anodes coated by this MLD-LiGL polymeric layer can achieve a superior cycling stability, accounting for an extremely long cyclability up to ten thousands of Li stripping/plating cycles without failures in Li/Li symmetric cells.1 Not limited to LiGL, we have been developing other new polymeric coatings via MLD, which have shown promising applications in lithium metal batteries. The excellent protection over Li anodes by these MLD coatings are commonly ascribed to their exceptional ionic conductivity, flexibility, and chemical stability. They are representing a new pathway to address the issues of lithium metal batteries.

1. Meng, X.; Lau, K. C.; Zhou, H.; Ghosh, S. J.; Benamara, M.; Zou, M., Energy MaterialsAdvances 2021, 9786201.

2. Meng, X., Journal of Materials Chemistry A 2017, 5, 18326-18378.

AA-TuP-10 Suppression of Interfacial Layer Formation in ZrO2-Based Capacitors with TiN Electrode by Adopting MgO Thin Films as an Oxygen Diffusion Barrier
Seungwoo Lee, Dong Hee Han, Hyeon Ho Seol, Min Kyeong Nam (Kyung Hee University); Daeyeong Kim, Hansol Oh, Hanbyul Kim, Yongjoo Park (SK Trichem); Woojin Jeon (Kyung Hee University)

ZrO2-based capacitors with TiN electrodes are typical high-k materials applied to dynamic random-access memory capacitors. However, when ZrO2 is grown on the TiN electrode by atomic layer deposition (ALD) using ozone as a reactant, it forms an undesirable TiOxNy interfacial layer due to the high reactivity of the TiN bottom electrode (BE). [1] This TiOxNy interfacial layer may have been formed by oxygen diffusion toward TiN BE during ZrO2 ALD and the subsequent annealing process. It accompanies the formation of an oxygen-deficient ZrO2 phase and creates oxygen vacancy-related defects, which influence the electrical properties degradation of the metal-insulator-metal capacitor. [2] Also, TiOxNy interfacial layer can decrease the capacitance density by increasing the thickness of the dielectric film. These interfacial properties can be improved by inserting an oxygen diffusion barrier such as Al2O3. [3] However, the crystallinity of tetragonal ZrO2 deposited on the amorphous Al2O3 may deteriorate. Therefore, in this presentation, we investigated the effect of adopting MgO thin films as an oxygen diffusion barrier on TiOxNy interfacial layer formation. MgO thin films were used as oxygen diffusion barriers due to high chemical and thermal stability and did not show oxygen scavenging effects when interfaced with TiN. [4, 5] In addition, MgO has a larger bandgap (~7.8 eV) and higher dielectric constant (~10) than Al2O3 (~8) and does not degrade ZrO2 crystallinity due to a low lattice constant mismatch with tetragonal ZrO2. We fabricated ZrO2/MgO capacitors with TiN using ALD and evaluated their electrical properties to analyze the effect of MgO insertion. The insertion of MgO significantly reduced leakage current density and improved C-V nonlinearity and frequency-dependent capacitance degradation. The capacitance density decreased because of the lower dielectric constant of MgO. However, for a specific MgO thickness, it was similar to the equivalent oxide thickness of a ZrO2 single layer. The results of chemical state analysis by X-ray photoelectron spectroscopy showed that MgO suppresses the formation of an interfacial layer by preventing oxygen diffusion.

AcknowledgmentsThis work was supported by the BK21 Plus program. The authors would to thank SK Trichem for their support and permission to publish this collaborative work.

References [1] J. H. Lee et al., Thin Solid Films 701, 137950 (2020). [2] C. H. An et al., Phys. Status Solidi RRL 13, 1800454 (2019). [3] H. Song et al., Thin Solid Films 713, 138368 (2020). [4] K. H. Kim et al., Vacuum 83, 897 (2009). [5] C. Cantoni et al., J. Mater. Res. 18(10), 2387 (2003).

AA-TuP-11 Improvement in Dielectric Properties of ZrO2 Thin Film by Employing Thermal Stability Enhanced Zr Precursor in High-Temperature Atomic Layer Deposition
Yoona Choi, Aejin Lee (Kyunghee univ.); Hansol Oh, Yongjoo Park (SK trichem); Woojin Jeon (Kyunghee univ.)

Currently, ZrO2 film is deposited by the atomic layer deposition (ALD) method, it can be used as the dynamic random-access memory (DRAM) capacitor material with a high dielectric constant (high-k) and excellent film quality [1]. However, as a size scaling down of the DRAM device is continued, a thinner dielectric film with high-k and low leakage current is required. To improve the electrical properties as described, a high-temperature atomic layer deposition is considered. And a precursor with high thermal stability is also required to withstand high deposition temperatures.

The ZrO2 thin film deposited at a high temperature can produce more nuclei before the annealing process. Because the film contains many nuclei, the grain size is smaller than a film that is deposited at a low temperature after post-deposition annealing (PDA) treatment. The thin film with a small grain size has a long leakage path, so it can contribute to a decrease in the leakage current [2]. Also, at a high deposition temperature, it can reduce impurities by increasing the reactivity of the precursor. And it can improve electrical properties such as a dielectric constant through an increase in crystallinity [3]. In this study, using thermal stability enhanced Zr precursor, it is deposited a ZrO2 film by ALD at a wide range of temperatures (270–400 °C) on a TiN substrate. Compared to Cp-Zr, the representative Zr precursor, the differences in electrical properties and crystallization were confirmed at a high deposition temperature. And it discussed the advantage of the dielectric film using the high-temperature ALD method.

AcknowledgmentsThis work was supported by the BK21 Plus program. The authors would to thank SK Trichem for their support and permission to publish this collaborative work.

References

[1] X. Zhao et al. Phys. Rev. B 65, 075105 (2002).

[2] Byunguk Kim et al. ECS J. Solid State Sci. Technol. 10, 083006 (2021).

[3] Dong Chan Won et al. J Vac Sci. Technol. B 32, 03D102 (2014).

AA-TuP-12 Enhancing the Electrical Characteristics of ZrO2-TiSiN Based MIM Capacitor by Introducing Y2O3 Inserting Layer
JongHwan Jeong, AeJin Lee, WooJin Jeon (Kyung Hee University)

Dynamic Random Access Memory (DRAM) is one of the representative semiconductor memory devices and is used importantly in various industries due to its wide application range. In the process of scaling to improve DRAM performance, it is required to have a smaller area and a thinner thickness. So, a high aspect ratio structure was formed. However, collapse of the pattern occurred in the high aspect ratio structure because of the weak mechanical strength. [1] Therefore, to solve this problem, this study attempted to introduce TiSiN as a bottom electrode of DRAM capacitor, which has a good mechanical property and is considered advantageous to endure a structure with the high aspect ratio. But, when ZrO2 films deposited on Si, the interfacial layer that contained a Zr-silicate phase and/or a SiOx phase was formed. [2] Interfacial layer degrades the electrical properties. So, it was concerned that the degradation of electrical properties of TiSiN because of Si doping. To prevent this concern, this study introduced Y2O3 inserting layer. To compare with to the electrical properties of the pristine sample, Y2O3 inserting layer having various thicknesses was deposited. After analyzing the electrical properties according to thickness of Y2O3 inserting layer, additional analysis of electrical properties was performed by changing other variables. By using these results, the advantages of Y2O3 inserting layer were discussed.

AcknowledgmentsThis work was supported by the BK21 Plus program. The authors would to thank SK Trichem for their support.

References [1] Peter. D., “Pattern Collapse - the Mechanical Stability and Solid Bridging of Semiconductor Nanostructures,”, Ph. D. dissertation, Mathematics and Natural Sciences., University of Kiel., Schleswig-Holstein., Kiel, 2010. [2] J. Lee et al., J. Korean Phys. Soc., 44, 4, 915 (2004)
AA-TuP-13 Atomic Layer Deposited Vanadium Oxides with Various Crystallinity for Uncooled IR Sensor Application
Hyeon Ho Seol, Seung Woo Lee, Woo Jin Jeon (Kyung Hee university)

Vanadium oxide has been studied as a material used for the microbolometer of uncooled infrared sensors.In the previous study, vanadium oxide is known to exhibit high reactivity through the high temperature coefficient of resistance (TCR) value at room temperature.[1] Vanadium dioxides have various crystallinity, which includes monoclinic phase, brookite phase, and rutile phase.[2] The most stable phase in vanadium dioxide is a monoclinic phase, where semiconductor to metal transition occurs by mott transition at 67 ℃, which is not suitable for use as an infrared detection layer for microbolometers in a wide temperature range.[2] However, brookite phase which is metastable phase and amorphous state vanadium oxides can be alternative. Because, in the case of brookite phase and amorphous state, mott transition does not occur in a wide range of room temperature, so it is suitable for use as an infrared detection sensor.

In this study, we suppressed the expression of monoclinic phase so that vanadium oxides had a uniform TCR value over a wide temperature range. Vanadium oxides was deposited by atomic layer deposition (ALD)with TEMAV as a precursor and ozone as a reactant. The deposition process temperatures was 140 °C, 200 °C, and 250 °C. We evaluated the vanadium oxides thin film through XRD, XPS, and XRF.TCR evaluation was conducted through resistance measurement for each temperature from 30 ℃ to 100 ℃.

It was confirmed that the brookite phase was dominantly expressed at high temperature deposition. At low temperature deposition, the amorphous state was maintained even when the annealing process was conducted. The XPS and XRD data supported the result. TCR values were measured from -1.5 to -2 %/K in the case of brookite phase, and from -2 to -2.5 %/K in the case of amorphous state.

References [1] P.V. Karthik Yadav et al., Sens Actuators A Phys, 342, 113611 (2022) [2] Naoufal Bahlawane et al., Chem. Vap. Deposition, 20, 7-8-9, 299-311 (2014) [3] Beibei Guo et al., J. Alloys Compd., 715, 129-136, (2017)

AA-TuP-14 Self-Isolation Electrode Formation by Selective Deposition Behavior of MoO2/MoO3 Thin Films by Atomic Layer Deposition
Yewon Kim, Jeong Hyeon Park (Kyunghee university, Korea); Songyi Moon, Taewon Youn, Younjae Jung, Eul Han, Yongwoon Jang, Minyung Lee (SK Hynix, Korea); Woojin Jeon (Kyunghee university, Korea)

For the DRAM capacitor application, a metal–insulator–metal (MIM) capacitor should meet the requirements of a sufficiently high capacitance density as well as a low enough leakage current to ensure robust device operation.[1] Especially, MoO2 has been proposed as a conductive oxide electrode for TiO2-based MIM capacitors. Owing to the highly crystallized MoO2 structure, deposited TiO2 films also exhibited high rutile crystallinity. By employing MoO2, a rutile TiO2 thin film exhibiting a dielectric constant value as high as 150 was obtained. And high work function of MoO2 was also attributed to leakage current suppression in the MIM capacitor. The minimum equivalent oxide thickness of 0.35 nm, the lowest ever reported, was achieved.[2]

Thus, MoO2 is the most promising capacitor electrode for further improving electronic applications. To apply actual DRAM application, it is important to have different deposition behavior depending on the substrate. Because, in the real devices, oxide layers exist between the electrodes to separate each electrode. Molybdenum oxide thin films have various stoichiometries of MoOx(2 ≤ x ≤ 3). MoO2 is conductive oxide, but MoO3 is insulator. MoOx thin films should be deposited MoO2 on the electrode and MoO3 on the oxide layer.

In this study, we examined selectively growing of MoOx thin film as the electrode application for demonstrating the MoO2 electrode implicated DRAM capacitor. The characteristic crystallization behavior of MoO2 on the TiN resulted in self-isolation between bottom electrodes by the formation of MoO3 on the mold oxide. To confirm the selective formation of MoOx in the DRAM capacitor structure, various analyses methods were employed. Therefore, the proposed MoO2 ALD is applicable to developing next-generation DRAM devices.

References

1. W. Jeon, J. Mater. Res., 35(7), 775 (2019)

2. Y. Kim et al., J. Mater. Chem. C, 10(36), 12957 (2022)

AA-TuP-15 Formation of Mo Thin Film from ALD-Mo2N Using Subsequent Reduction Process with Introducing a Mechanical Strain Applying Layer
Jeong Hyeon Park, Ye Won Kim, Woojin Jeon (Kyunghee university)

It is necessary that the metal interconnects has low resistivity to connect devices to devices by acting as current-carrying. However, the resistivity of the interconnects are gradually incresasing as intergrated circuits were downscaling by the design rule. The resistivity increase as the size of interconnects decreased to nano-scale because scattering increase at the interface and grain boundary. Therefore total resistivity is proportional to ρo (bulk resistivity) × λ (mean free path), which is called resistivity size effect. Mean free path of Cu and Mo are 39 nm and 17 nm, respectively. So we can use Mo metal instead of Cu as a new interconnect material [1]. Mo has a benefit that is has a lower ρo x λ and thermal expansion coefficient (𝛼 = 4.8×10-6 K-1). Among the Mo compounds, molybdenum carbide and molybdenum nitride also have low resistivity, but Mo metal has the lowest resistivity, so it is most suitable as interconnect material [2], [3].

Mo metal is deposited mainly by sputtering. However, the film deposited through the sputtering method is limited to use on nano-scale or 3D structrures because the step coverage is poor. If the roughness of the film deposited by sputtering is poor [4], the resistivity and capacitance of the metal increase and RC delay occurs [5]. Therefore, it is neccesary to deposit conformal metal by atomic layer deposition (ALD).

In this study, we deposit Mo metal by plasma enhaced atomic layer deposition (PE-ALD) for interconnect.

Because Mo film is not possible to directly deposit Mo metal by ALD, it was deposited molybdenum nitride and then reduced to metal film. However, in this process, agglomeration occurs, resulting in morphology degradation. Therefore, in order to suppress agglomeration, we suppress agglomeration with capping layer through applying mechanical strain.

References [1] Daniel Gall, J. Appl. Phys., 127, 050901 (2020). [2] Min Ji Ha et al, Chem. Mater., 34, 2576-2584 (2022). [3] Petra Alen et al, J. Electrochem. Soc., 152, G361-G366 (2005). [4] G. Gordillo et al, Braz. J. Phys., 36, 982-985 (2006).

[5] Ragendra M. patrikar et al, Microelectron. J., 33, 929-934 (2002).

AA-TuP-16 Novel Cyclopentadienyl-Based Yttrium Precursor for Atomic Layer Deposition of Y2O3 Thin Films
Han Sol Oh, Hanbyul Kim (SK Trichem Co. Ltd); Seungwoo Lee, Young Uk Ryu, Woojin jeon (Kyung Hee University); Yongjoo Park (SK Trichem co. ltd)

The high dielectric constant (high-k) materials has been attracted a lot of attentions for improving the operation characteristics of various electronic applications, such as gate dielectric in metal oxide semiconductor field effect transistors, and insulator of metal-insulator-metal capacitors. However, the intrinsic property of high-k materials, a trade-off relationship between k value and bandgap, induces the leakage current problem, inevitably.

Among the various high-k materials, yttrium oxide (Y2O3) has been investigated one of the candidate for the gate dielectric and the insulator applications due to its relatively high k value (~12) with large intrinsic bandgap (5.5 - 5.8 eV). In this regard, various yttrium precursor complexes have been developed, but most of these compounds have solid phases[1-2] or liquid phases, which have high viscosity[3], making them difficult to apply to the actual atomic layer deposition (ALD) process.

Herein, we developed a novel cyclopentadienyl-based yttrium precursor with very low viscosity, good volatility, and thermal stability for demonstrating a reproducible thermal ALD process of Y2O3 thin film. By employing the newly designed yttrium precursor and ozone, the typical saturation behavior was obtained within an ALD window of 180 to 320 °C on SiO2 substrate and a growth per cycle (GPC) up to 0.081 nm/cycle at 320 °C. The deposited Y2O3 films exhibited a cubic phase crystalline structure without any carbon and nitrogen contamination.We fabricated a metal-insulator-metal capacitors with Y2O3 and TiN electrode using ALD and evaluated their electrical properties such as dielectric constant and leakage current.

Acknowledgements : This work was supported by the Technology Innovation Program (No. 20017228) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

[1] Chem. Mater. 2004, 16, 15, 2953-2958

[2] J. Electrochem. Soc., 2008, 155, 152-158

[3] World Intellectual Property Organization 2020, WO2021/133080
AA-TuP-17 Novel Amidinate-Based Yttrium Precursor for Atomic Layer Deposition of Y2O3 Thin Films
Hanbyul Kim, Han Sol Oh (SK Trichem Co. Ltd.); Seungwoo Lee, Young Uk Ryu, Woojin jeon (Kyung Hee University); Yongjoo Park (SK Trichem Co. Ltd.)

Yttrium oxide (Y2O3) thin film is one of the strong high dielectric constant (high-k) candidates for various electronic applications, such as a gate dielectric in metal oxide semiconductor field effect transistor or an insulator in the metal-insulator-metal capacitor, because of its valuable dielectric properties of the dielectric constant of around 12 with a relatively large intrinsic bandgap (Eg = 5.5-5.8 eV).

Therefore, various yttrium compounds for the precursor application in the thin film deposition process have been reported. Most of the reported compounds had a solid phase or highly viscous liquid phase, which was induced to obtain certain thermal stability.[1] However, these phases are not favorable as the precursor application, because they would result in difficulties in the actual deposition process, such as too low vapor pressure or low reactivities.[2]

Herein, we developed a novel amidinate-based yttrium precursor with low viscosity, excellent thermal stability, and high vapor pressure for the thermal atomic layer deposition process (ALD) application. The ALD process of Y2O3 thin film deposition utilizing newly designed amidinate-based yttrium compound and ozone was investigated. The ALD process exhibited a typical saturation growth behavior with a growth per cycle of 0.099 nm/cycle at 300 °C on SiO2 substrate. Moreover, the high vapor pressure and low viscosity allowed for achieving a robust and reproducible ALD process of Y2O3 thin film. Finally, the crystallinity and dielectric properties of the Y2O3 thin film deposited on TiN electrode were examined.

Acknowledgements : This work was supported by the Technology Innovation Program (No. 20017228) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

References

[1] (1) Niu, D.; Ashcraft, R. W.; Chen, Z.; Stemmer, S.; Parsons, G.N.; J. Electrochem. Soc. 2003, 150, F102-F109.

(2) Barye, S.; Deo, M.; Kar, R.; Sreenivasan, N.; Kishore, R.; Biswas, A.; Bhanage, B.; Rao, M.; Gantayet, L.M.; Patil, D. Plasma Process. Polym. 2011, 8, 740-749.

(3) Karle, S.; Dan, V.S.; Prenzel, M.; Rogall, D.; Becker, H.-W.; Devi, A. Chem. Vap. Depos. 2015, 21, 335–342.

[2] Myllimäki, P.; Nieminen, M.; Niinisto, J.; Putkonen, M.; Kukli, K.; Niinisto, L.; J. Mater. Chem. 2006, 16, 563-569

AA-TuP-18 Tailoring the Surfaces of Atomic Layer Deposited Metal Oxides for Metal Ion Removal from Aqueous Solutions
Vepa Rozyyev, Rajesh Pathak, Rahul Shevate, Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA)

The quality of water has worsened due to a wide range of contaminants from industrial waste, pesticides, and pharmaceuticals. These contaminants, including heavy metal ions and organic pollutants, can have adverse effects on human health even at low concentrations. Here we developed a method for functionalizing the surfaces of porous and flat adsorbents for enhanced metal ion removal from aqueous solutions. Atomic layer deposition (ALD) of metal oxides is utilized for the uniform and conformal coating of flat and porous substrates followed by vapor phase grafting of functional silanes. Here, we investigated the monolayer grafting of six different silanes with amine, thiol, nitrile, and ester functionalities. It is demonstrated that the density of reacted silanes and surface hydrophobicity varies depending on the reaction temperature and functional groups on the silane agents. Next, we extended the study onto porous substrates by coating mesoporous silica with ALD metal oxide thin films followed by grafting with monolayer functional silanes. We then studied the adsorption properties of surface-functionalized mesoporous silica to evaluate the removal of metal ions from aqueous solutions. The functionalized surfaces exhibited enhanced selective adsorptive removal efficiency towards a wide range of metal ions.

AA-TuP-20 ALD for Lead-Free Microchannel Plate Fabrication: Optimization of the Thermal Coefficient of Resistance by Modification of the Resistive Layer
Stefan Cwik, Melvin Aviles, Steven Clarke, Michael Foley, Cole Hamel, Alexey Lyashenko, Mark Popecki, Derrick Mensah, Shawn Shin, Michael Stochaj (Incom Inc.); Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA); Anton Tremsin, Oswald Siegmund (UC Berkeley); Michael Minot (Incom Inc.)

Microchannel plates (MCPs) have a negative thermal coefficient of resistance (TCR) which leads to challenges in non-temperature-controlled environments. Rising device temperatures lead to thermal runaway, while the increased resistance under cooling results in reduced detection performance including gain depletion. In contrast to conventional hydrogen-fired lead glass MCPs, the ALD-GCA-MCP technology from Incom Inc allows the optimization of the resistive properties independently of other performance characteristics like gain. The TCR improvements are expected to have a high impact on in-field and space flight applications of MCP based photodetectors as the temperature related resistance changes become less prominent.

The glass capillary array (GCA) substrate is comprised of a physically and chemically robust silicate glass with high resistance which enables large area MCP fabrication. For the functionalization, a resistive nanocomposite and an emissive layer are deposited on the electroded GCA via ALD. The tunability of the resistive layer is based on the nanocomposite mixing of metallic and insulating components. For improved thermal performance stability, the ratio of both components in our baseline resistive material (Chem1) is optimized to yield an improved TCR of ALD-GCA-MCPs on level with conventional MCPs. However, on large area (up to 400 cm2) MCPs the large number of parallel conductors requires a higher resistivity on the layer limiting the tunability. This motivated the development and implementation of new resistive nanocomposites in the pilot scale production environment to achieve even lower TCR values for a given resistivity.

Herein, we present the performance characteristics of our TCR optimized Chem1 MCPs fabricated with our baseline resistive technology that are the foundation of our Large Area and High Rate / Resolution Picosecond Photodetectors (LAPPD and HRPPD). Moreover, the performance of low TCR resistive layers developed together with colleagues at Argonne National Laboratory (ANL) is discussed in prospect of integrating the technological advance in the ALD-GCA-MCPs production process. Implementation and commercialization of these developments to large area ALD-MCPs and Incom MCP based picosecond photodetectors will be discussed. View Supplemental Document (pdf)
AA-TuP-21 New Secondary Electron Emissive Technologies for MCP-PMTS: Optimization of Water and CO2 Adsorption on Microchannel Plate Surfaces
Melvin Aviles, Stephen Clarke (Incom, Inc); Stefan Cwik, Michael Foley, Cole Hamel, Alexey Lyashenko, Mark Popecki, Derrick Mensah, Shawn Shin, Michael Stochaj (Incom, Inc.); Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA); Michael Minot (Incom, Inc.)

Incom’s ALD-GCA-MCP technology for the fabrication of large area microchannel plates (MCP) relies on the performance of the ALD secondary electron emissive (SEE) film. This technology provides MCP functionality by combining resistive and emissive films with glass microchannel substrates. The use of glass and films makes possible mechanically robust MCPs, of any shape and resistance required by the application. MgO is the state-of the-art SEE coating for open MCP instruments and UHV sealed microchannel plate photomultiplier tubes (MCP-PMT), due to high gain and long-term performance stability. Earlier studied alternative SEE layers, including Al2O3, offered initially high secondary electron yield (SEY) but suffered from declining gain as adsorbed water was scrubbed out of the channels. This effect reduces detection efficiency with extracted charge, potentially to an unacceptably low level.

However, the strong adsorption of water and CO2 on the air-exposed MgO surface makes the integration of MCPs into photodetectors challenging, since residual adsorbed species contribute to ion afterpulsing. Such afterpulsing leads to the degeneration of the photocathode, therefore reducing the detection efficiency, and leading ultimately to device failure. Additionally, applications requiring open-MCP instrumentation, where the MCP chamber gets routinely vented, also have to consider the reversable adsorptions of moisture and CO2, Thes adsorptions then require extended evacuation and may create gain variations.

A series of ALD thin films have been evaluated and optimized by Incom and colleagues from the Argonne National Laboratory to develop application tailored SEE coatings with acceptable gain and gain stability, together with reduced gas adsorption. We will report SEE based MCP performance demonstrating the relation between the material selection, film thickness and gain. While lower SEY can be deemed sufficient for the respective application, declining gain during operation renders a huge drawback for our customers. Therefore, the gain stability after extracted charge and the afterpulsing level are key parameters for newly developed and upcoming SEE technologies. The gain and afterpulsing performance of the new films will be evaluated and discussed as a function of extracted charge and deliberate exposures of water and CO2.

View Supplemental Document (pdf)
AA-TuP-23 ALD-based Catalysts with TiO2 Interlayer for Ammonia Decomposition and LOHC Dehydrogenation Reactions
Yu-Jin Lee (Korea Institute of Science and Technology (KIST)); Yeonsu Kwak (University of Delaware); Seongeun Moon (Ecole Politechnique Fédérale de Lausanne); Hyuntae Sohn, Hyangsoo Jeong, Suk Woo Nam, Yongmin Kim (Korea Institute of Science and Technology (KIST))

In this work, we demonstrate the use of atomic layer deposition (ALD) to fabricate catalysts that can accelerate the production of green hydrogen through ammonia decomposition and liquid organic hydrogen carrier (LOHC) dehydrogenation reactions. The ALD-based catalysts were designed with tailored surface properties, including the deposition of a TiO2 interlayer, to enhance the catalytic activity, selectivity, and stability for these reactions. We tested the catalysts in a series of experiments and observed a significant improvement in the reaction rate and lower activation energy for ammonia decomposition and LOHC dehydrogenation, compared to conventional wet chemistry-based catalysts. Our study demonstrated significant improvements in catalytic activity for both ammonia decomposition and LOHC dehydrogenation reactions. These improvements can be attributed to the efficient modification of the electron structure of metal nanoparticles, which was facilitated by the uniform TiO2 interlayer introduced onto a 3D-shaped substrate using the ALD technique. Our results suggest that ALD with TiO2 interlayer is a promising technique for developing efficient catalysts for green hydrogen production, which can help accelerate the transition to a sustainable energy future.

View Supplemental Document (pdf)
AA-TuP-24 A Co-Design Approach to Optimize Neuromorphic Architectures for High Temperature Computing Integrating Novel ALD Materials
Angel Yanguas-Gil, Sandeep Madireddy, Jeffrey Elam, Anil Mane (Argonne National Laboratory)

There is a need to broaden the temperature range of microelectronics. For applications such as enhanced geothermal systems, relevant downwell temperatures can exceed 300˚C, which is well above the limits of VLSI and even high temperature Silicon on Insulator technology. In this work we demonstrate an application-driven codesign methodology that leverages machine learning techniques to identify optimal materials that maximizes the performance of architectures for an application-relevant task.

In particular, we have explored the integration of ALD materials based on metal/metal oxide nanolaminates for threshold logic-inspired architectures. An advantage of threshold logic approaches is that they minimize the number of transistors required to carry out complex operations, something relevant for high temperature computing, where the footprint of a transistor can be four orders of magnitude larger than the leading edges. Our approach integrates two different approaches: first, we cast the threshold logic circuits as models in deep learning frameworks such as pytorch or tensorflow. This allows us to use stochastic gradient descent approaches to identify the optimal values of the resistive elements in our architecture. We then use an asynchronous model-based search algorithm to explore and find optimal configurations in terms of accuracy and other criteria such as power consumption. Finally, we apply quantization approaches to minimize the number of processing steps required to realize the proposed architecture and identify the right ALD processes.

In addition to demonstrating the performance on baseline machine learning tasks such as MNIST, FashionMNIST, and KMNIST, we have explored the robustness of the proposed approach to process variability, noise, and shifts on the threshold voltage. Finally, we provide a realistic exemplar case where we use this approach to implement convolutional kernels for image processing applications.

This research has been supported through Argonne National Laboratory's Laboratory Directed Research and Development Program and Threadwork, a project funded through DOE Office of Science.

[1] S. Babar, A. U. Mane, A. Yanguas-Gil, E. Mohimi, R. T. Haasch, and J. W. Elam, "W:Al2O3 nanocomposite thin films with tunable
optical properties prepared by atomic layer deposition", The Journal of Physical Chemistry C, vol. 120, no. 27, pp. 14 681–14 689, 2016.

[2] A. Yanguas-Gil, J. Koo, S. Madireddy, P. Balaprakash, J. W. Elam and A. U. Mane, "Neuromorphic architectures for edge computing under extreme environments", 2021 IEEE Space Computing Conference (SCC), Laurel, MD, USA, 2021, pp. 39-45

AA-TuP-25 Forming Voltage-Free Memristive Hafnium Oxide Devices for Non-Polar Switching Applications
Minjong Lee, Ye-Eun Hong, Jin-Hyun Kim, Heber Hernandez-Arriaga (University of Texas at Dallas); Rino Choi (Inha University); Jiyoung Kim (University of Texas at Dallas)

Resistive memory-devices, the memristors, have received great amount of attentions for future memory applications. Among memristor devices, a resistive random-access memory (ReRAM) has been widely studied with its high on/off current ratio and applicable for compute-in memory applications. However, conventional ReRAM based on a filamentary switching mechanism requires electrochemical formation of filaments which causes reliability issues in ReRAM. This challenge issue must be addressed to achieve an advanced memory behavior.1 In this regard, C. A. Paz de Araujo et al. reported the universal non-polar switching behavior in carbon-doped transition metal oxide (TMO) films deposited by spin-on fabrication, in which reliable operation and immunity from forming voltage were observed.2

In this work, we demonstrate the forming voltage-free memristive hafnium oxide (HfOx) devices based using the conventional low-temperature atomic layer deposition (ALD) technique, which is high compatible for 3D structure and back-end-of-line (BEOL) process. For the ALD process, tetrakis(dimethylamino) Hf (TDMA-Hf) and carbonated hydroperoxide (H2O2) were utilized as the Hf and oxygen precursors, respectively. For activating the conductive carbon bond states, rapid thermal annealing (RTA) at 450 °C for 1 min was performed. By adopting the carbon composited HfO2 films, the switching and memory behaviors were observed without any high forming voltage. It is noted that the first reset operation of the demonstrated devices is different from ReRAM forming in terms of the magnitude of switching voltage and current level. Although the high-resistance states are quite low due to the carbon composition, the set and reset voltages are reliable respectively as ~1.6 and ~0.6 V with similar high- and low-resistance states (HRS and LRS) in cycle-to-cycle variation. In addition, the intriguing operation in the carbon composited HfO2 devices is non-polar switching behavior, showing programmable HRS and LRS regardless the polarities of operation voltage. These phenomena enable the devices to exhibit both unipolar and bipolar operation, which would be highly compatible for the further circuit applications. Detailed experimental procedure and results will be discussed.

This research is supported by the MOTIE (Ministry of Trade, Industry, and Energy) in Korea, under the Fostering Global Talents for Innovative Growth Program (P0017303) supervised by the Korea Institute for Advancement of Technology (KIAT). We also thank CerfeLabs for their financial support on the project.

1 L. Goux et al., J. Appl. Phys., 107, 024512 (2010).

2C. A. Paz de Araujo et al., APL Mater., 10, 040904 (2022).

View Supplemental Document (pdf)
AA-TuP-26 Impact of Oxygen Source and Cocktail Precursor on Ferroelectricity of ALD HfxZr1-xO2 Thin Films
Jin-Hyun Kim, Yong Chan Jung, Minjong Lee, Dan Le, Seojun Lee (University of Texas at Dallas); Jeffery Spiegelman, Marshall Benham (RASIRC); Si Joon Kim (Kangwon University); Rino Choi (Inha University); Jiyoung Kim (University of Texas at Dallas)

The ferroelectricity in Zr-doped HfO2 (HZO) film has attracted great interest for memory applications. Generally, HZO thin films can be deposited by super-cycle process with Hf and Zr precursors (e.g., TEMA-Hf/Zr and TDMA-Hf/Zr) via thermal atomic layer deposition (tALD) technique.1

In this work, we demonstrated the ferroelectricity of ALD HZO thin films without using a super-cycle process, in which TEMA-Hf/Zr cocktail precursor was employed. The great merit of the cocktail precursor is to achieve a higher throughput based on their simple ALD cycles. To comprehensively understand the proposed precursor, the effects of different oxidants (O3, H2O, H2O2) and deposition temperature on the growth characteristics and film properties were investigated. At 250 °C, the deposited HZO thin films using different oxygen sources exhibited ALD saturated growth characteristics. Although H2O2-based thin films exhibited higher growth per cycle (GPC) compared to other oxidants, HZO deposited with O3 showed the lowest nonuniformity percentage. Unlike H2O- and H2O2-based processes, the growth rate of O3-based HZO remained constant between the deposition temperature of 200–300 °C. Furthermore, the etch resistance of deposited thin films was evaluated using 200:1 HF diluted solution. While exhibiting higher GPC than H2O-based HZO, the films deposited with H2O2 showed a similar wet etch rate compared to H2O process (~0.99 nm/ min). Interestingly, O3-based HZO showed the lowest wet etch resistance. The observed results indicated that H­2O and H2O2 delivered HZO thin films with higher density compared to O­3-based process. To extend our studies to device applications, the TiN/HZO/TiN capacitors are fabricated by ALD at 250 °C with various oxygen precursors. The device fabrication flows were similar to our previous studies,1 except using TEMA-Hf/Zr cocktail precursor. As a result, the H2O2-based devices exhibit a higher remanent polarization (Pr) and higher dielectric constant than those with H2O- and O3-based processes, due to the higher density of H2O2-based process. Overall, we thus believe that the combination of TEMA-Hf/Zr cocktail precursor and H2O2 would deliver HZO thin films with improved growth characteristics, film properties, and enhanced electrical performance.

This work was supported by Tech. Innovation Program (20010806) funded by MOTIE (Korea) and GRC-LMD program (task#3001.001) through SRC. We also thank RASIRC Inc. for their financial support and for providing the anhydrous H2O2 source.

1 S. Kim et al., Appl. Phys. Lett., 115, 182901 (2019).

AA-TuP-29 Multifunctional Carbon Textile Prepared by Carbothermic Reduction for Energy Materials
Do Van Lam, Jae-Hyun Kim, Seung-Mo Lee (Korea Institute of Machinery and Materials (KIMM))
Carbothermic reduction in the chemistry of metal extraction (MO(s) + C(s) → M(s) + CO(g)) using carbon as a sacrificial agent has been used to smelt metals from diverse oxide ores since ancient times. Here, we paid attention to a new aspect of carbothermic reduction that remained unnoticed till now to prepare activated carbon textiles for high-performance energy materials. Based on the thermodynamic reducibility of metal oxides reported by Ellingham, we employed not carbon, but metal oxide as a sacrificial agent to prepare activated carbon textile. We conformally coated ZnO on bare cotton textile using atomic layer deposition (ALD), followed by pyrolysis at high temperature (C(s) + ZnO(s) → C’(s) + Zn(g) + CO(g)). We figured out that it leads to concurrent carbonization and activation in a chemical as well as mechanical way. Particularly, the combined effects of mechanical buckling and opening-mode fracture that occurred between ZnO and cotton turned out to play an important role in carbonizing and activating cotton textiles. This effect significantly increased surface area (nearly 10 times) compared with the cotton textile prepared without ZnO. The battery and supercapacitor using the carbon textiles prepared by carbothermic reduction as an electrode showed impressive combination properties of high power and energy densities (over 20 times increase) together with high cyclic stability.
AA-TuP-31 Comparison between Doped and Undoped Ferroelectric HfO2
Liliane Alrifai, Evgenii Skopin, Nicolas Guillaume, Patrice Gonon, Ahmad Bsiesy (Univ. Grenoble Alpes, CNRS, LTM)

The recent discovery of ferroelectric behavior of doped hafnium oxide (HfO2) has led to a renewed interest in this material for its potential use in CMOS technology, such as integrated FeRAM ferroelectric non-volatile memory. Indeed, since HfO2 was used in CMOS as an insulating layer, its elaboration process, physical and chemical properties are largely mastered even at ultrathin layers. Following the HfO2 ferroelectric discovery, much effort has been devoted to the understanding of the role of doping. Different dopants have been investigated since it is widely believed that doping allows the stabilization of HfO2 non-centrosymmetric orthorhombic phase which is the origin of the HfO2 ferroelectric behavior. However, a number of reports showed experimental evidence of ferroelectricity in HfO2 undoped layers. These results cast the light on the origin of the ferroelectric behavior and the role of doping in stabilizing it.

In this work, the ferroelectric properties and crystalline structure of doped [1] and undoped HfO2 layers in TiN/HfO2/TiN stacks were studied as a function of the layer thickness. For high conformity, precise thickness control and deposition at low temperatures, HfO2 (or doped HfO2) layers and TiN contacts were deposited by Plasma Enhanced Atomic Layer Deposition (PEALD) in the same chamber without getting exposed to air.

To clarify the role of doping, the crystalline and electric properties of undoped HfO2 layers were compared to that of doped layers. For doped and undoped ultrathin HfO2 layers, the increase of ferroelectric crystalline phase as well as the ferroelectric behavior were found to be similar. Indeed, the remnant polarization was linearly increasing as a function of thickness but this trend started splitting at 6.5nm. Above this thickness, a slight increase of the monoclinic phase was noticed in undoped layers until it dominated and led to a drop in the remnant polarization above 14nm thick layer. In contrast, for doped samples, the remnant polarization kept increasing linearly with thickness. These results were analyzed in the light of possible role of mechanical stress in stabilizing the ferroelectric phase in ultrathin HfO2 layers.

  1. E.V. Skopin, N. Guillaume, L. Alrifai, P. Gonon, and A. Bsiesy, “Sub-10-nm ferroelectric gd-doped hfo2 layers,” Applied Physics Letters 120, 172901 (2022).
AA-TuP-32 Control of the Electrical Resistivity and Stress of ALD W for 3d Nand Word Line Applications
Donguk Kim, Changwon Suh, Ilhong Sung, Won-joon Choi, Sung-gon Jin, Choon-hwan Kim (SK Hynix)

Since 3D NAND products were mass-produced, the number of stacking layers with W word lines has been increasing to achieve higher cell density. The total height of 3D NAND is also increasing with the increased number of W word lines, causing technical challenges such as channel-hole etch with a high aspect ratio and a high wafer warpage. As one of the solutions to overcome these technical challenges, the vertical pitch of the word line has been scaled down. However, in the aspect of W metallization, the gap fill at a reduced WL pitch becomes more challenging. Therefore excellent properties of W thin film with very low electrical resistivity and high step-coverage are required. Also, extremely low mechanical stress of W thin film is one of the important requirements because the word line W, which occupies more than 30% volume of the 3D NAND structure, causes a high wafer warpage.

ALD W process has been applied to the word line metallization of 3D NAND to meet the process requirements. Based on sequential alternating gas precursors with self-limiting reactions, ALD W film has excellent step-coverage on high-aspect ratio structures of 3D NAND. In addition, ALD W film generally has an electrical resistivity and mechanical stress of 50% or less compared to CVD W films. The most practical use of ALD W is that it is easy to control thin film properties with various process factors.

In this study, the effect of W nucleation layer on the electrical resistivity and stress of ALD W thin film is investigated. W nucleation layer using sequential pulses of B2H6 and WF6 gases affects the bulk growth of ALD W, resulting in lower resistivity at higher nucleation thickness. In addition, the surface condition of TiN substrate affects the surface coverage of W nucleation on it. This leads to changes in the thin film properties of ALD such as electrical resistivity and stress. The effect of the surface condition of TiN substrate on W nucleation and ALD W films and their growth mechanism will be presented.

AA-TuP-33 Low-Temperature Atomic Layer Deposition of Indium Oxide and Tin Doped Indium Oxide using Ozone
Huazhi Li, Dmitry Gorelikov (Arradiance LLC.); Amit Agrawal, Wenqi Zhu (NIST)

Indium oxide (In2O3) and tin-doped indium oxide (ITO) are well known transparent conducting oxides (TCO). Due to their high optical transmittance and excellent electrical conductivity, they are used in various optical, electrical and photo-electric device technologies such as heat mirrors, antistatic coatings, electrochromic devices, flat panel displays, light-emitting diodes and solar cells. For optimal performance and utilization of the expensive indium, most of the above-mentioned applications need precise control over the conductive film thickness and composition. In addition, many applications require the ability to deposit thin In2O3 or ITO layers onto very high aspect ratio structures or high surface area materials. That makes atomic layer deposition (ALD) more advantageous over other deposition techniques such as chemical vapor deposition and physical vapor deposition. 100 °C temperature or below growth of In2O3 or ITO ALD films 1,2,3 recently gained more attention due to the need of using low temperature budget sensitive substrates like halide perovskites and polymers.

Arradiance desired to provide industry a low-cost solution for conformal In2O3 or ITO ALD films over substrates at or below 100 °C utilizing the GEMStarTM platform. In this work, we studied the growth kinetics, crystalline structure, resistivity, and purity of ITO and In2O3 thin films as grown using O3 as the primary reactant gas in combination with the most cost efficient In and Sn ALD precursors on the chemical market: trimethylindium (TMIn) and tetrakisdimethylaminotin (TDMASn). Electrical measurements were performed using a four-point probe, and optical measurements (for growth rate and non-uniformity) using spectroscopic ellipsometry (SE). We found both films conductive at 100 °C growth temperature with the conductivity in tens mOhm range. Our findings prove that low-temperature ALD processing of ITO and In2O3 is feasible and scaleable utilizing existing ALD and supporting hardware solutions on the market like that from Arradiance.

Literature:

1. A. Mane, et. al; J. Phys. Chem. C 2016, 120, 9874−9883

2. A. Mahmoodinezhad, et. al; J. Vac. Sci. Technol. A 39, 062406 (2021); doi: 10.1116/6.0001375

3. W.J. Maeng, et. Al; J. of Alloys and Compounds (2015), doi: 10.1016/j.jallcom.2015.07.150

AA-TuP-34 Thin Titanium Oxynitride Film as Alternative to ITO for Optoelectronic Devices
Clemence Badie (Eindhoven University of Technology, The Netherlands); Vincent Astie, Jean-Manuel Decams (Annealsys SAS); Beniamino Sciacca, Olivier Margeat, Lionel Santinacci (Aix-Marseille University)

Transparent and conductive films (TCF) are critical parts of current and future optoelectronic devices (e. g. solar cells or displays). The most commonly used is In-doped SnO2 (ITO) that exhibits satisfying transparency and conductivity. Due to indium scarcity, it is necessary to propose alternative TCFs. Another approach consisting of turning into transparent a conductive material by decreasing its thickness to nanometric scale should be highlighted and developed. It can extend significantly the variety of promising material available. Therefore, atomic layer deposited (ALD) titanium nitride as a metal-like material is an interesting candidate. However, from literature and our previous studies, as-grown ALD TiN films contain an oxynitride contribution1,2. The obtained films present a rather high resistivity for a metal but remains in TCFs range (10-4 Ω·cm). Depositions from 10 to over 100 nm have been performed to assess their optical and electrical properties.

TiOxNy layers are grown on fused silica by thermal and plasma-enhanced ALD using TDMAT (tetrakis(dimethylamido)titanium) and two different N-sources (NH3, N2). This enables to investigate their impact on the layer characteristics. Highest transmittance measured for thermal and N2-plasma routes are highly satisfactory for 10 nm-thick films (87%) while NH3-plasma one is slightly lower (83 %). Those values are compared to commercial ITO used as benchmark3. In visible range, the transmittances are very closed while, in the IR region, TiOxNy layers remain transparent and ITO exhibits a non-negligible absorption. Since numerous photons of the solar radiation are emitted in this region, the use of TiOxNy can result in a huge enhancement in photocurrent and then a better photoconversion yield of photovoltaic cells. The resistivity depends as well on the thickness, down to 1608 and 578 10-4 Ω.cm for both plasma routes (100 nm) while it remains stable for the thermal process around 550 10-4 Ω.cm. In the case of NH3-plasma, after a thermal treatment at 700°C in nitriding atmosphere, the resistivity drops to 137 10-4 Ω.cm (10 nm) while the transmittance remains at 80%. Additional investigations are currently carried out to characterize the roughness and the crystallinity of the TCFs but current results are already very interesting. In addition, ALD can be used to conformally coat structured substrates that could be highly suitable for antireflective coatings and metasurfaces.

1 Elam J.W. et al., Thin Solid Films, 436, 145 (2003)

2 Badie. et al., J. Vac. Sci. Technol. A, accepted

3 LUMTEC, LT-G002, ITO Non-Patterned 5 Ω

View Supplemental Document (pdf)
AA-TuP-36 Advanced LiNi0.8Mn0.1Co0.1O2 Cathodes by Sulfide Coating via Atomic Layer Deposition
Xin Wang, Xiangbo Meng (University of Arkansas)

Layer-structured LiNixMnyCo1-x-yO2 (NMCs, 0< x, y <1) cathodes are among the promising cathodes for next-generation lithium-ion batteries (LIBs). However, these cathodes suffer from severe issues, which hinder them from commercialization, mainly in two aspects: performance degradation and safety hazard. They are reflected as cation mixing, oxygen evolution, phase transition, transition metal ion dissolution, and microcracking. In tackling these interrelated issues, surface coating has been proved being a facile and effective strategy. In recent years, atomic layer deposition (ALD) has emerged as an accurate tool to apply uniform and conformal coatings over NMCs at the atomic level, which have shown remarkable effects on battery performance improvement.1-4 Recently, we for the first time discovered that lithium sulfide as surface coating via ALD could remarkably improve the performance of NMC8115. The ALD sulfide coating has dramatically enhanced the cyclability and rate capability of NMC811 cathodes. In exploring the protective mechanism of the ALD coating, we utilized a suite of characterization tools including X-ray diffraction, scanning electron microscopy, high resolution transmission electron microscopy, and synchrotron-based transmission X-ray microscopy. Our results revealed that the ALD sulfide coating has evidently helped sustain the NMC structure during cycling and thereby significantly mitigated the formation of cracks. More importantly, X-ray photoelectron spectroscopy measurements have further revealed that the sulfide coating has experienced some transformations to sulfite or/and sulfate. Such transformations could remove oxygen released from NMC811 during cycling, protect electrolytes from oxidation and further degradation, and thereby contribute to the battery’s improved performance. This work is significant, for it paves a new technical avenue for addressing the issues of NMCs and the like and has some important implication on other sulfide coatings in LIBs and beyond.

1. Meng, X.; Yang, X. Q.; Sun, X. L., Advanced Materials 2012,24 (27), 3589-3615.

2. Liu, Y.; Wang, X.; Cai, J.; Han, X.; Geng, D.; Li, J.; Meng, X., Journal of Materials Science & Technology 2020,54, 77-86.

3. Wang, X.; Cai, J.; Liu, Y.; Han, X.; Ren, Y.; Li, J.; Liu, Y.; Meng, X., Nanotechnology 2020,32 (11), 115401.

4. Gao, H.; Cai, J.; Xu, G.-L.; Li, L.; Ren, Y.; Meng, X.; Amine, K.; Chen, Z., Chem. Mater. 2019,31 (8), 2723-2730.

5. Wang, X.; Cai, J.; Ren, Y.; Benamara, M.; Zhou, M.; Li, Y.; Chen, Z.; Zhou, H.; Xiao, X.; Liu, Y.; Meng, X., Journal of Energy Chemtry2022, 69, 531-540.

AA-TuP-37 Improved Performance of Li||Nmc Batteries by a Novel Polymeric Coating via Molecular Layer Deposition
Kevin Velasquez Carballo, Xin Wang, Xiangbo Meng (University of Arkansas)

Abstract:

Lithium-metal batteries (LMBs) have received considerable attention for their great potential to achieve much higher energy densities than those of lithium-ion batteries (LIBs). [1-3] Among them, Li||NMC LMBs are very promising and could realize an energy density up to 500 Wh/kg, in which Li is the lithium metal anode and NMC is one variant of LiNixMnyCozO2 (x + y + z = 1) as the cathode. Although promising, they suffer from a series of issues, which hinder them from commercialization and are rooted in the Li anode and NMC cathode. Aimed at addressing these issues of Li||NMC LMBs, recently we developed a novel polymeric film, LiGL (GL = glycerol) via molecular layer deposition.[1] We revealed that LiGL as a surface coating could produce exceptional effects on the Li anode in Li||Li symmetric cells and enabled long-term cyclability. Inspired by this discovery, we adopted LiGL-coated Li chips (i.e., LiGL-Li) to couple with LiNi0.8Mn0.1Co0.1O2 (NMC811) cathodes. We prepared NMC811 cathodes with different mass loadings, varying from 2 to 14 mg/cm2. Compared to bare Li||NMC811 cells, in all the cases, LiGL-Li||NMC811 cells enabled much better performance, in terms of sustainable capacity and rate capability. We ascribed the improvements of LiGL-Li||NMC811 cells to the excellent protection effects of the polymeric LiGL coating, i.e., inhibiting SEI formation and mitigating Li dendritic growth. All these results are encouraging, for they clearly indicate that MLD could be an effective pathway for us to address the issues of Li||NMC LMBs rooted in the Li anode. This study also clearly revealed that it is critical to address the issues rooted in both the Li anode and NMC cathode simultaneously for ultimately commercializing Li||NMC LMBs.

References:

[1] X. Meng, K.C. Lau, H. Zhou, S.K. Ghosh, M. Benamara, M. Zou, Molecular Layer Deposition of Crosslinked Polymeric Lithicone for Superior Lithium Metal Anodes, Energy Material Advances 2021 (2021) 9786201. https://doi.org/10.34133/2021/9786201.

[2] Jinming Wang, Bingcheng Ge, Hui Li, Meng Yang, Jing Wang, Di Liu, Carlos Fernandez, Xiaobo Chen, Qiuming Peng, Challenges and progresses of lithium-metal batteries, Chemical Engineering Journal, Volume 420, Part 1, (2021) 129739. https://doi.org/10.1016/j.cej.2021.129739.

[3] W. Xu, J. Wang, F. Ding et al., “Lithium metal anodes for rechargeable batteries,” Energy & Environmental Science, vol. 7, no. 2, pp. 513–537, 2014.

AA-TuP-39 Resistivity Engineering of Atomic Layer Deposited Tungsten Carbonitride Thin films via Carbon Concentration Control for 3D VXP Electrodes Applications
Seunggyu Na, Tae Hyun Kim, Seungwon Park (Yonsei University, Korea); Myoungsub Kim (SK Hynix, Korea); Seung-min Chung, Hyungjun Kim (Yonsei University, Korea)

As a demand for data storage and processing has been exponentially increased, phase change memory (PCM) with fast speed and non-volatility is attracting huge attention. In particular, three-dimensional (3D) vertical cross-point (VXP) array architecture is one of the most promising technologies for fabricating PCM with increased integration density due to its cost-effective vertical structure with narrow holes realized by atomic layer deposition (ALD) compared to the conventional planar memory structures. This 3D VXP structure requires selectors to suppress undesirable sneak current from unselected cells, such as ovonic threshold switching selector (OTS). However, the switching behavior of OTS selector depends heavily on electrodes, which are essential part of the OTS device, depending on the electrode material and interface. Thus, detailed engineering of electrode material is required to obtain desirable properties for 3D VXP. Electrodes for 3D VXP require moderate resistivity because of the trade-off between thermal efficiency and power consumption. As a matter of fact, resistivity of film can be shifted by carbon incorporation. Even though ALD is essential technique for depositing conformal film on sidewalls in 3D VXP structure, studies on ALD process and resistivity control for electrodes applications are insufficient. Tungsten nitride is mainly used as an electrode due to its high thermal stability and conductivity.

Herein, we developed thermal ALD tungsten carbonitride process with intentional carbon control in films for effective tuning of film properties including resistivity by changing process parameters, such as deposition temperature, purge gas flow rate, and reactant flow rate. Subsequently, various ALD process parameters of tungsten carbonitride were controlled to observe changes in growth characteristics and film characteristics to investigate the effect of carbon concentrations in films. This opened the possibility of tuning the characteristics of the electrodes in detail, which will eventually help control the electrical characteristics of the devices.

Acknowledgements

This paper was result of the research project supported by SK hynix Inc.

AA-TuP-40 Broadband Anti-Reflective Coatings on Plastic Optics Using Graded Refractive Index Alumina by Atomic Layer Deposition
Philip Klement, Lukas Gümbel, Isabel Müller, Jörg Schörmann, Sangam Chatterjee (Justus Liebig University Giessen)

Plastic optics from acrylic glass or polycarbonate find widespread applications in eyeglasses, cell phone cameras, windows and displays as they are lightweight, cheap and easy to manufacture. All these applications require broadband, omni-directional and durable anti-reflective (AR) coatings which are difficult to achieve for plastics using conventional deposition methods. Typical problems are the low limit in process temperatures, modifications of the surface through the process plasma or the lack of rigid chemical bonding between the coating and the plastic.

Here, we present nanoporous alumina on plastic optics with a graded refractive index to produce broadband, omni-directional and durable AR-coatings. Atomic Layer Deposition (ALD) of alumina on poly (methyl methacrylate) or polycarbonate and the subsequent immersion in hot water forms grass-like alumina. Using this approach, we achieve an excellent AR-performance with a reduction of the residual reflectance to 0.4% in the visible range (400‒900 nm) for a single-sided coating. Angular spectral reflectance (0‒70°) confirms the omni-directional characteristic of the AR-coating with a minimal residual reflectance under all angles of incidence. Further, infiltration of the polymer substrate with inorganic precursors during ALD forms rigid chemical bonds and allows for durable coatings. The combination of excellent AR-performance, facile processing with strong adhesion to many relevant optical plastics and compatibility with commercial deposition systems should enable a multitude of practical use for this AR-technology.

AA-TuP-41 Revelation of Ferroelectricity of ALD ZrO2 Thin Films through a Trace of Ge Incorporation
Seonyeong Park, Seunggyu Na (Yonsei University, Korea); Wonsik Choi, Beomseok Kim, Changwha Jung, Hanjin Lim (Samsung Electronics Co., Inc.); Seung-min Chung, Hyungjun Kim (Yonsei University, Korea)

Since the research on ferroelectricity of doped HfO2 was first announced in 2011, a lot of research on Hf1-xZrxO2 (HZO) has been conducted due to its low crystallization temperature and high remanent polarization. However, HZO has problems such as high intrinsic coercive fields and electric fields cycling instability, and La doping has become a promising solution to improve ferroelectric behavior of HZO films. Recently, ferroelectricity of ZrO2 is highly promising because of its compatibility with CMOS technology. Although undoped ZrO2 thin film is generally known to have antiferroelectricity, it exhibits ferroelectricity in very thin films of 2 nm. However, as the film becomes thinner, there is a possibility that device characteristics may be deteriorated due to inter-cell interference. In order to exhibit ferroelectric properties in ZrO2 thin films of 10 nm or more, lattice distortion is required. According to the DFT calculation result, crystallinity can be improved when doping an element with a small atomic radius in the HfO2 thin film. Therefore, we selected Ge as a doping element, which has smaller atomic radius than Zr and has good compatibility with Si.

In this study, super-cycle ALD method was used to incorporate Ge. Controlling the number of ALD GeOX process cycle, we varied the proportion of Ge from 0.1 % to 2.7 %, which was examined by XPS analysis. In particular, through XRD analysis, it was found that the crystallinity of the ZrO2 thin film significantly increased when Ge was doped at less than 1%. It was also confirmed that ferroelectricity appeared in about 13 nm thin film with only 0.1 % doping, and it is predicted that the increased crystallinity affected the revelation of ferroelectricity. If further research is conducted, it is expected that this film can be applied to real industry.

*Corresponding author: hyungjun@yonsei.ac.kr

Acknowledgements: This work was supported by Samsung Electronics Co. Ltd.

AA-TuP-43 Atomic Layer Deposited TiN Capping Electrode for sub-10 nm Hf0.5Zr0.5O2 Gate Oxide in Ferroelectric Transistors with 8 nm Gate Length Defined by Helium Ion Beam Lithography
Yu-Sen Jiang, Chin-I Wang, Teng-Jan Chang, Zheng-Da Huang, Miin-Jang Chen (National Taiwan University)
Since the discovery of ferroelectricity in Hf0.5Zr0.5O2 (HZO) thin films, they have attracted considerable interest in a variety of devices including ferroelectric field effect transistors, ferroelectric random-access memory, ferroelectric tunneling junctions, and neuromorphic computing. The scaling of the HZO thickness down to the sub-10 nm region, along with a low thermal budget while maintaining pronounced ferroelectric (FE) properties, is of significant importance in practical applications. In this study, the TiN capping electrode deposited by atomic layer deposition (ALD) is used to enhance the ferroelectric properties of sub-10 nm Hf0.5Zr0.5O2 (HZO) thin films. Compared with the TiN prepared by sputtering, the ALD TiN facilitates the crystallization into the orthorhombic phase in HZO without any post-annealing treatment. With the ALD TiN capping electrode, high remnant polarization (2Pr), low thermal budget (<300°C), low operation voltage, and high endurance are demonstrated in the ferroelectric HZO thin films with the thickness scaling from 10 to 3 nm. A maximum 2Pr as high as ~50 μC/cm2 is reached in the 6 nm HZO, and the ferroelectricity still remains robust with a good 2Prof 21.6 μC/cm2 in HZO with a thickness of only 3 nm. The wake-up effect is almost negligible when the HZO thickness is above 5 nm, which could be attributed to the dependence of the stability between tetragonal and orthorhombic phases on the film thickness. On the other hand, high endurance (1011 cycles) is achieved in the 3 nm HZO thin film due to the increasing dielectric breakdown strength with the decreasing thickness. The distinguished ferroelectric properties can be ascribed to the formation of the TiOxNy mixed phase and a large in-plane tensile strain in HZO by the ALD TiN capping electrode. Finally, a Si junctionless transistor with a short gate length (~8 nm) and 6 nm HZO gate oxide is also demonstrated by using helium ion beam lithography based on the hydrogen silsesquioxane (HSQ) resist. Owing to the ferroelectric negative capacitance effect, the HZO gate oxide contributes to the suppression of the off-state current by around two orders of magnitude and the reduction in the subthreshold swing to ~33 mV/dec. All the results demonstrate the enhancement of ferroelectricity and the alleviation of short-channel effects in nanoscale transistors by the ferroelectric HZO thin films capped with a TiN top electrode deposited by ALD.
AA-TuP-45 Metal Oxide ALD Overlayers Enhance Thermal Stability and Activity of Platinum Catalysts in Propene Oxidation Reaction
Bang T. Nhan, Stacey F. Bent (Stanford University)

The transportation sector is a major contributor to greenhouse gas emissions in the U.S. In the efforts to reduce the amount of toxic pollutants found in exhaust gas that is released into the atmosphere, many diesel and gasoline-powered vehicles are equipped with catalytic converters comprised of platinum-based catalysts. These catalysts contain platinum-group nanoparticles (NPs) dispersed on metal oxides such as alumina that have been shown to be highly effective at oxidizing harmful exhaust emissions containing CO, NO, and hydrocarbons. However, under harsh conditions (high temperature, oxidizing environment, steam), these NPs often sinter to form large particles, leading to a reduction in active surface area and, consequently, decreased catalytic activity.

One way to prevent particle sintering is to encapsulate the metal NPs within porous oxide layers. The oxide layers act as a cage around the NPs, reducing their mobility at elevated temperatures and thus limiting particle migration and coalescence. Encapsulation techniques such as chemical vapor deposition (CVD) and sol-gel chemistry have successfully formed stable core-shell particles. However, these techniques often lead to a stability-activity tradeoff where catalytic activity is compromised by mass transfer resistance of gaseous emissions associated with the tens of nanometer-thick protective layers. Atomic layer deposition (ALD) can meet the needs for growing uniform thin films on metal nanoparticles with angstrom-level thickness control over surface composition, which allows for a better understanding of the catalyst surface for fine-tuning purposes.

In this work, we investigated how the stability and activity of catalysts comprised of Pt supported on Al2O3 that was nanocasted from polymer organic framework (POF) (Pt/Al2O3) materials changed with both TiO2 and CeO2 overlayers. These metal oxides were selected as overlayers due to their prominence as supports in heterogeneous catalysis. We found that Pt/Al2O3 catalysts modified by TiO2 ALD showed improved stability and retained activity after aging at 800 ºC in propene oxidation reaction conditions compared to the unmodified Pt/Al2O3 catalyst control. Particle size analysis of the aged samples showed a significant reduction in NP size as the number of TiO2 ALD cycles increased, suggesting less sintering. We also explore Pt/Al2O3 encapsulated in CeO2 ALD layers. As a catalyst support, CeO2 is known to be much more active compared to TiO2 due to its unique surface chemistry. A comparison of the activity and stability for CeO2 encapsulated Pt/Al2O3 catalysts with both the TiO2-modified and unmodified Pt/Al2O3 catalysts will be presented.

AA-TuP-46 ALD for Spatial Control of Redox Reaction Selectivity
Wilson McNeary (National Renewable Energy Laboratory); William Stinson, Daniel Esposito (Columbia University); Katherine Hurst (National Renewable Energy Laboratory)

Photocatalytic water splitting holds great potential in the pursuit of the U.S. Department of Energy’s Hydrogen Shot initiative to bring the cost of H2 to $1/kg by 2031. A key challenge in the development of photocatalysts is increasing their overall solar-to-hydrogen efficiency by enhancing charge separation yields and redox selectivity. In this work, we use area selective ALD of oxide films to develop tunable interphase layers for selective oxidation and reduction reactions on a single substrate. This presentation details initial synthesis and characterization of Pt- and Au-based planar thin film electrodes in which Au regions were deactivated towards ALD growth through self-assembled thiol monolayers. The suppression of TiO2 ALD selectivity of thiols was assessed through ellipsometry, X-ray photoelectron spectroscopy (XPS), and cyclic voltammetry. A patterned planar sample comprised of interdigitated arrays of Au and Pt, used as a surrogate for a photocatalyst particle containing two different co-catalysts, was exposed to ALD growth and removal of the inhibitor species. Scanning electrochemical microscopy (SECM) was used then to probe the local activity of different regions of the patterned surface towards the hydrogen evolution reaction (HER) and iron oxidation and correlated with the ionic and e- blocking effects of the area selective ALD coating. We will also detail the application of these findings to the ongoing development of 3D, particle-based photocatalysts.

AA-TuP-47 Influence of Oxygen Source on Ferroelectricity of ALD-Hf0.5Zr0.5O2 Thin Films With and Without Capping Layer
Hye Ryeon Park, Seongbin Park, Jong Mook Kang (Kangwon National University); Jin-Hyun Kim, Yong Chan Jung, Jiyoung Kim (The University of Texas at Dallas); Si Joon Kim (Kangwon National University)

Ferroelectric Hf0.5Zr0.5O2 (HZO) thin films have recently gained great attention in the semiconductor industry due to their high compatibility with standard CMOS processes, high dielectric constant, and high scalability.1 Specifically, HZO thin films not only pave the way to overcome the scaling limitations that have been a chronic problem of conventional perovskite films, but also provide low thermal budget and availability of atomic layer deposition (ALD) processes. Since ALD is a chemical vapor deposition method that uses self-limiting and sequential surface reactions, the type of oxygen source used during the process can affect residues (e.g., carbon impurities or hydroxyl groups) in the deposited film.2,3 Meanwhile, it is known that the ferroelectric properties of HZO originate from the non-centrosymmetric polar orthorhombic phase (o-phase).1 In order to promote the formation of the o-phase in HZO, the films must undergo additional stress during crystallization process. One representative approach for inducing mechanical stress in the film involves the use of a capping layer, commonly referred to as the “capping layer effect”. Therefore, in this study, we comprehensively investigated the effect of an oxygen source on the ferroelectric properties of ALD-HZO thin films with and without a capping layer. 10-nm-thick HZO films were deposited on the TiN bottom electrodes by ALD using TDMA-Hf and TDMA-Zr as the Hf and Zr precursors and O3 or H2O or D2O as the oxygen source. O3-, H2O-, and D2O-based HZO samples were annealed in N2 atmosphere at 400°C for 60 s by rapid thermal annealing before or after deposition of the TiN top electrode, respectively. As a result, the HZO samples annealed with the capping layer showed excellent ferroelectric properties regardless of the type of oxygen source, and the largest ferroelectric polarization was confirmed in the O3-based HZO film. On the other hand, samples annealed without a capping layer showed ferroelectric properties only in H2O- and D2O-based HZO films, whereas O3-based HZO film showed linear dielectrics properties. These results suggest that different types of oxygen sources should be used depending on the presence or absence of a capping layer in order to obtain and enhance the ferroelectric properties.

This work was supported by Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea government (MOTIE) (P0017011 and P0020966, HRD Program for Industrial Innovation). The ozone generator was provided by TMEIC.

1S. J. Kim, et al., JOM 71, 246 (2019).

2S. J. Kim, et al., Appl. Phys. Lett. 115, 182901 (2019).

3Y. C. Jung, et al., Appl. Phys. Lett. 121, 222901 (2022).

View Supplemental Document (pdf)
AA-TuP-48 The Optimizing Mobility-stability Trade-off by Vertically Stacked IGZO/GZO TFT with Controlling of Indium-free GZO Layer via PEALD
Hye-Jin Oh, Yoon-Seo Kim, Jin-Seong Park (Hanyang University, Korea)

Oxide semiconductor-based TFTs have already been commercialized for display backplane circuits based on advantages such as high mobility, large-area uniformity, low temperature processability, and low production cost1,2. In addition, oxide semiconductors, which have the advantage of low off-current (≤10-18 A/µm), are drawing attention in recent application fields in ultra-high resolution display, AMOLED, DRAM, 3D-NAND and CMOS image sensors. However, mobility-stability trade-off is observed in oxide semiconductors, and it is important to find an appropriate compromise to apply them to various commercial applications.

In this study, we fabricated an PEALD IGZO/GZO TFT with a stacked indium-free GZO layer on the front channel to solve the mobility-stability trade off relationship. We focused that control of the cation composition of the GZO layer via PEALD enables (1) interface engineering through defect density control and (2) band alignment modulation. In addition, it was confirmed that these effects greatly affect the improvement of the electrical performance and stability of the IGZO/GZO TFT. As a result, the optimized IGZO/GZO TFT has high electrical characteristics with mobility (µFE) of 31.86±0.74 cm2/Vs, threshold voltage (Vth) of -0.14±0.12 V, and subthreshold swing (S.S.) of 0.20±0.01 V/decade. In addition, it has excellent stability compared to IGZO TFT with ΔVth = 0.00 V and -3.46 V under PBTS (60 ℃, 2 MV / cm stress) and NBIS (1000 lux, -2 MV / cm stress) conditions, respectively. Our study shows that the electrical performance and stability of TFTs can be effectively enhanced by applying an In-free GZO layer with an appropriate composition to the interface between GI and active by PEALD.

References
(1) Mativenga, M., An, S., & Jang, J. (2013). Bulk accumulation a-IGZO TFT for high current and turn-on voltage uniformity. IEEE electron device letters, 34(12), 1533-1535.

(2) Lin, Y. Y., Hsu, C. C., Tseng, M. H., Shyue, J. J., & Tsai, F. Y. (2015). Stable and high-performance flexible ZnO thin-film transistors by atomic layer deposition. ACS applied materials & interfaces, 7(40), 22610-22617.

View Supplemental Document (pdf)
AA-TuP-50 Interface Engineering of Porous Cathodes by Spatial ALD for Improved Cycle Retention in Liquid Electrolyte
Diana Chaykina, Nico Huijssen, Wiel Manders, Fieke J. van den Bruele, Auke Kronemeijer, Mahmoud Ameen (TNO/Holst Center)

Lithium ion batteries are an important component to the energy transition, enabling widespread deployment of e.g. electric vehicles. To achieve societal targets for performance and sustainability, research has been focused towards finding cobalt-less (NMC), and nickel-less (LMNO) cathode chemistries. In addition, some of these cathode materials are considered “high-voltage” cathodes (e.g., NMC811), leading to a higher cell voltage, and ultimately, energy density1. However, these new cathode chemistries are often incompatible with the currently used liquid electrolytes, resulting in electrolyte oxidation/degradation and a number of parasitic side reactions during battery operation2. These unfavorable interactions between the cathode and electrolyte ultimately lead to capacity fade and cell death.

To mitigate this, we engineer the interface between the high voltage cathode and liquid electrolyte by depositing an ultrathin (<2 nm) passivation layer on the porous cathode by spatial atomic layer deposition (sALD)3. With this technique, we can deposit thin conformal coatings with atomic control of many different materials (e.g., metal oxides) at atmospheric pressure and at a high growth rate (throughput), which renders sALD a scalable manufacturing process for integration in the battery manufacturing process flow.

We assessed the effect of different deposition parameters/conditions, such as precursor dose, oxidator nature and purge times, on the electrochemical performance of battery cells using NMC811. As well, we studied the impact of the sALD process on the full electrode stack and investigated, among other aspects, the penetration depth of the coating throughout the thick porous cathode. Combining the device performance with physical analysis, we optimized the cathode passivation and showed that the use of an sALD barrier is beneficial for capacity retention.

[1] Kraytsberg, A., et al., Adv. Energy Mater., 2012, 2(8), 922-939.

[2] Marchesini, S., et al., ACS Appl. Mater. Interfaces,2022, 14, 52779-52793.

[3] Poodt, P., et al., J. Vac. Sci. & Tech. A, 2012, 30, 010802.

AA-TuP-51 Study of Nb2O5 high-k Dielectric Material Deposited by Atomic Layer Deposition for Metal-Insulator-Metal Capacitor
Kou Ihara, Christophe Labbé, Julien Cardin, Cédric Frilay, Marie Philippe (CIMAP Normandie Université); Maxime Leménager (Murata Integrated Passive Solutions)

The application of new high-k materials can help improve the properties of modern capacitors such as capacitance density. However, it is necessary to understand the deposition conditions of both electrode and dielectric materials in order to master the dielectric properties in future capacitors. One potentially interesting high-k material is Nb2O5 deposited by Atomic Layer Deposition (ALD) using NEO as niobium precursor and H2O as reaction agent and oxygen source. Nb2O5 films are deposited directly on a silicon (Si) substrate or on a titanium nitride (deposited by ALD on Si substrate). Their structural properties are characterized by spectroscopic ellipsometry, scanning electron microscopy and atomic force microscope. Subsequently, a MIM structure (Ti/Nb2O5/TiN) is produced by adding a second titanium layer deposited on a Nb2O5/TiN/Si stack by the sputtering method. The electrical properties of Nb2O5 dielectric layer such as dielectric constant, breakdown field and leakage current at possible user voltage are measured on this last structure. These measurements indicate that the electrical properties of the Nb2O5 material produced by ALD with specific settings are promising for the application in capacitors. These results provide new insight into our understanding of the application of Nb2O5 realized by ALD for the MIM capacitor.

AA-TuP-52 Cathode Electrolyte Interphase Development and Residual Lithium Compound Removal via Chemical Vapor Treatment on Nickel-Rich Cathode
Rajesh Pathak, Vepa Rozyyev, Anil U Mane, Jeffrey W. Elam (Argonne National Laboratory, USA)

The cycling performance of lithium-ion batteries depends greatly on the interface between the cathode and the liquid electrolyte.With the industry shifting towards higher capacity nickel-rich cathode materials, methods are needed to remove residual lithium compounds (RLCs) from the nickel-rich cathode surface and create a robust cathode electrolyte interphase (CEI). In this study, we utilized an ALD-like process, chemical vapor treatment (CVT), to remove RLCs such as LiOH and Li2CO3 from the surface of NMC811 cathode powders. We investigated the process using in situ Fourier transform infrared (FTIR) spectroscopy and ex situ X-ray photoelectron spectroscopy (XPS) and nuclear magnetic resonance (NMR) measurements.These measurements revealed the conversion of LiOH and Li2CO3 surface contaminants into Li3PO4 via self-limiting surface chemical reactions. Following the CVT, the NMC811 powders were integrated into coin cells to evaluate the effect of the Li3PO4 coating on the cycling performance.We found that the removal of the RLCs maintained the cathode structural integrity and improved the Li-ion transport. In addition, the Li3PO4 CEI not only acts as a physical barrier between the cathode and liquid electrolyte but also provides strong covalent bonding of the PO4- group enabling high thermal stability. As a result, CVT Li3PO4 on NMC811 yielded more stable cycling, lower voltage hysteresis, and higher-rate capability compared to the bare NMC811 during electrochemical cycling.

AA-TuP-53 Pt-Al2O3 Metamaterial with Tunable Resistivity
Ritwik Bhatia (Veeco Instruments Inc.)

Films of intermediate resistivity in between semiconductors and insulators are needed to prevent static build up while minimizing/controlling current discharge. Atomic layer deposition (ALD) has been used to create such materials using a blend of transition oxide semiconductors and insulators [1,2]. This approach is problematic due to lack of stability of these materials [2]. An alternate approach with ALD of molybdenum or tungsten nano-dots in an Al2O3 matrix has been developed in the context of micro channel plates [3] and has also been applied to electro-optical MEMS devices [4].

Deposition of Mo (MoF6+Si2H6) or W (WF6 + S2H6) requires a high level of engineered safety since S2H6 (disilane) is a highly flammable gas and the reaction produces hydrogen fluoride as a byproduct. In this work, we have replaced Mo/W with platinum as the material in the nano-dots. Platinum is deposited using the metal organic precursor MeCpPtMe3 with molecular oxygen as the co-reactant. Managing environmental health and safety for platinum deposition is much easier than for molybdenum or tungsten. Further the low growth per cycle (GPC) of Pt (~ 0.05nm/cycle) allows finer control of the resistivity compared to Mo or W (GPC ~ 0.5nm/cycle)

We show that resistivity can be varied by several orders of magnitude by either changing the size of nanodots (holding the ALD Al2O3 cycles constant and varying the number of Pt cycles) or by changing the spacing between the nanodots (holding the number of Pt cycles constants and varying the number of Al2O3 cycles). The resistivity range accessed to date is 1e3 to 1e10 ohm.cm. We also discuss stability of the material in response to electrical and thermal stresses and the thermal coefficient of resistance.

[1] Elam, J.W. et al (2003): Journal of The Electrochemical Society, 150 (6) G339-G347

[2] Brodie, A et al (2010): ECS Transactions 33(2):101-110

[3] Mane, A.U. and Elam, J.W. (2013): Chemical Vapor Deposition 19(4‐6)

[4] Tong, W. et al : Appl. Phys. Lett. 102, 252901 (2013)

AA-TuP-54 Effect of Ar Purge Step Condition on PEALD-TiN Film Properties
Ju Eun Kang, Surin An, Sang Jeen Hong (Myongji University)

The role of the metal diffusion barrier become more crucial for the low power consumption and high reliability in the metallization process. TiN thin film has been a conventionally preferable material for Cu diffusion barrier using atomic deposition layer (ALD). Despite of the purge steps in time-divided cyclic ALD, undesired residues of precursor and reactants remained inside the process chamber may turns into unexpected particles in the film which can jeopardize the film quality. Extending purge time can avoid the presence of the particle, but it also decreases the manufacturing throughputs due to the extended process time. Therefore, we investigated the relationship between purge time and characteristics of the TiN thin film quality. The TiN films deposited in 6-inch PEALD system with varyied purge conditions was inversigated with repect to the thickness, sheet resistance, and surface composition with ellipsometry, a 4-point probe, and X-ray photoelectron spectroscopy, respectively. The by-product production, with respect to the flow rate of the purge gas and flow time, was also investigated using Employing Chemkin simulation study.

As the longer Ar flow time, the N 1s peak of 300 sccm as the Ar flow rate and thickness decrease because the screening effect increases and it means that residual Ar atoms are intervened between the adsorbents to block the adsorption of the precursors and reactants. As shown in Fig. 1, through chemical reaction simulations, we found that the production rate of hydrocarbon increased as the Ar flow rate increased because Ar as inert gas increases the collision between chemical species in the chamber, making the production rate increase. We found that when the Ar flow rate increased, the reaction between the desorbed ligands increased to form an unstable compound (CH3), and as the material was formed, the carbon component increased. Especially, in low Ar flow rate, the C3H6 production rate increased. Carbon 1s peak decreased at a lower Ar flow rate because the stable compound (C3H6) is easily removed from the chamber by the pump. Thus, we concluded that inducing the generation of these compounds can reduce the removal of the incorporation of materials into the thin film surface. In the past ALD process cycle optimization research, the focus has been on optimizing the flow time of precursors and by-products rather than purging. However, in this study, the effect of the purge condition on the characteristics of the TiN thin film was confirmed was proposed. This can be a novel approach to prevent by-product incorporation through purge conditions in terms of controlling the surface composition of thin films.

View Supplemental Document (pdf)
AA-TuP-55 Probing the Structural and Chemical Evolution of Interfacial SiOx Layers Formed During ALD and Post-Deposition Processing
Ben M. Garland, Nicholas C. Strandwitz (Lehigh University)

Atomic layer deposited (ALD) aluminum oxide (AlOy) has been investigated to increase silicon solar cell efficiency by decreasing carrier recombination as a passivating interfacial tunneling layer, as well as a non-tunneling active layer in passivating emitter and rear contact (PERC) cells. When subjected to post-ALD annealing, a negative fixed charge forms at the interface with silicon oxide (SiOx) to provide field-effect passivation. Post-ALD annealing also promotes chemical passivation of dangling bonds near the interface from the diffusion of residual hydrogen left over from the ALD process. The interfacial SiOx chemistry is impacted by the Si surface preparation, ALD growth parameters, and post-preparation or post-deposition annealing. Previous work in x-ray photoelectron spectroscopy (XPS) and computational studies has indicated that the suboxides of SiOx, where x=1-3, exist at interfaces and in ultrathin layers along with the dominant SiO2. These suboxides could promote trap-assisted carrier tunneling in layers < 3 nanometers thick and/or change passivation characteristics.

In this work, we investigated the thickness and composition of interfacial SiOx layers using XPS in Si|SiOx and Si|SiOx|ALD AlOy structures to provide a comprehensive understanding of the interface. Hydrogen-termination, oxide grown with the Radio Corporation of America (RCA) process, ultraviolet ozone-grown oxide, and nitric acid-grown oxide were explored as silicon preparations often used in industry processing. The annealing condition (425°C in N2 for 20 minutes) was chosen since it is in the range often used to promote passivation by ALD AlOy. The Si 2p core level location associated with the primary SiOx component was observed to shift to lower binding energy after AlOy deposition, implying a change in the chemical structure that was examined through peak fitting. The Al 2p core level position and relative intensity to Si 2p was also studied, H-terminated silicon having the smallest intensity of Al 2p due to inhibited initial nucleation of ALD AlOy. 2-bin and 5-bin peak fitting models were used to quantify the SiOx layer thickness and composition. Between the two models, a ~0.2-0.3 nm difference in SiOx thickness was seen depending on processing conditions; for example, with Si|nitric acid-grown SiOx|ALD AlOy, the SiOx thickness was 0.9 nm in the 2-bin model and 1.1 nm in the 5-bin model. Silicon preparation, the presence of AlOy, and post-preparation/deposition annealing influenced the SiOx thickness and composition. Our findings may shed light on the SiOx conditions that arise from processing and yield knowledge useful in silicon device manufacturing.
AA-TuP-57 Ultraviolet Bandpass and Wedge Filter ALD Coatings for Astrophysics Instruments
John Hennessy, Robin Rodriguez, April Jewell (Jet Propulsion Laboratory (NASA/JPL))

We report on the use of atomic layer deposition (ALD) for the development of metal-dielectric bandpass filters that can be integrated directly onto back-illuminated imaging sensors for operation at far ultraviolet wavelengths (FUV, 90-200 nm). These coatings utilize previous developments in ALD metal fluoride processes for materials like MgF2 and AlF3, which are then combined with evaporated aluminum layers in multilayer structures. Planar coatings can produce an FUV bandpass response that allows broadband silicon CCD or CMOS imaging sensors to operate with visible and solar blindness, this enhances the utility of these devices for use in astrophysics sensing applications. We describe the fabrication and optical characterization of these coatings, and describe the development of detectors integrating these coatings that are planned to be delivered to upcoming NASA CubeSat and Explorers Missions.

We also report on the extension of this concept to include graded thickness dielectric layers deposited by ALD. We show that a graded lateral thickness can be engineered in a variety of thermal ALD processes by depositing into a shallow horizontal cavity. Process parameters like delivered dose, and background pressure can influence the lateral extent of the grading effect and therefore allow the slope of the graded thin film to be tailored without the need for moving parts inside the vacuum chamber. This allows for the fabrication of detector-integrated filter coatings with a spatially-varying response that can be matched to the spectral dispersion of spectrometer instruments. At visible and near infrared wavelengths this is used to produce graded dielectric anti-reflection coatings on silicon with R<1% over a wavelength range of 300–1000 nm. Metal-dielectric variants provide order-sorting and long-wavelength rejection for FUV applications. Prototype graded coatings are demonstrated over areas up to 4 x 4 cm, and characterized for optical performance and environmental stability.

AA-TuP-58 Internal Photoemission (IPE) Spectroscopy Measurement of Interfacial Barriers in Fatigued ALD Ferroelectric Hafnium Zirconium Oxide MFM Devices
Jessica L. Peterson (Oregon State University); Takanori Mimura, Jon Ihlefeld (University of Virginia); John F. Conley (Oregon State University)

Ferroelectric HfxZr1-xO2 (HZO) is under intense investigation for its potential ease of integration into advanced CMOS memory and logic applications. Atomic layer deposition (ALD) is the preferred method of depositing HZO. Inducing ferroelectric behavior in HZO typically requires "wake-up" – repeated voltage cycling above the coercive field.1 Once woken, there is a period of stable behavior. Eventually, additional voltage sweeps fatigue the HZO, degrading ferroelectric performance.2 Here we study the impact of cycling fatigue on metal/HZO band offsets and IPE yield in metal/ferroelectric/metal (MFM) devices.

20 nm of ~Hf0.5Zr0.5O2 was deposited on TaN/Si substrates at 150 °C via ALD in an Ultratech S100 using 102 1:1 supercycles of TDMAH / H2O and TDMAZ / H2O. Next, 20 nm of sacrificial TaN was sputtered and the structure was annealed at 600 °C for 30 seconds. Following the anneal, the top TaN was stripped and replaced by an optically transparent 5 nm TaN/5 nm Pd top electrode. The completed MFM devices were "woken" using 5k cycles of a 10 kHz +/- 5 V square wave and then stressed at increasing intervals of cycles up to 500 k cycles. Band offsets and photoemission yield were measured using a lab-built IPE system.3 Voltage applied to the bottom electrode was swept from -1.5 to 1.5V in 0.1 V steps. Simultaneously, monochromatic light focused onto the top electrode was swept from 1.7 to 5.5 eV. IPE thresholds were extracted at each voltage from plots of the square root IPE yield vs. photon energy. Zero field HZO/electrode zHHZOband offsets were extracted from plots of IPE thresholds vs. square root electric field.

Compared to pristine devices, the initial 5k waking cycles had little impact on band offsets but slightly reduced yield. An additional 5k cycles (total 10k) (i) reduced band offsets from 2.6/2.9 eV to 2.2/2.3 eV for top/bottom electrodes, respectively, with no further significant change up to 100k cycles, and (ii) reduced yield for both electrodes. Continued cycling up to 40k total did not significantly impact yield, indicating a regime of stable operation. Beyond 40k cycles, decreasing photon yield and increased leakage with increasing cycles was observed, consistent with fatigue. At 500k cycles, the devices were no longer functional. The decrease in yield, especially between 4-5 eV, accompanied by increased leakage is consistent with fatigue related to oxygen vacancy generation and movement.4

1. Grimley et al., Adv. Electron. Mater. 2, 1600173 (2016).

2. Pešić et al., Adv. Funct. Mater. 26, 4601 (2016).

3. Jenkins et al., ACS Appl. Mater. Interf. 14, 14635 (2021).

4. Ihlefeld et al., J. Appl. Phys. 128, 034101 (2020).

View Supplemental Document (pdf)
AA-TuP-59 Understanding the Reactions of ALD Precursors on Lithium Metal and Its Application to Lithium Metal Batteries
Donghyeon Kang, Anil U. Mane, Jeffrey W. Elam (Argonne National Laboratory)

Trimethylaluminum (TMA) is a widely utilized aluminum precursor for atomic layer deposition (ALD) in various aluminum-based coatings. For example, TMA produces Al2O3 with H2O,[1] AlF3 with HF-pyridine,[2] and Al2S3 with H2S [3] by well-defined ALD mechanisms. These ALD processes have been widely applied into various substrates to introduce ultra-thin Al-based coating layers, including energy storage materials such as battery cathodes, anodes, and solid electrolytes. Our group demonstrated that the Al2O3 ALD process using TMA can be used for Li-metal anode coating to improve electrochemical stability and reduce Li-dendrite formation during battery cycling.[4]

Although many studies about ALD on Li metal anodes have been reported using TMA, most of them focus on Li-metal battery performance, instead of ALD chemistry. During deep investigation on ALD chemistry of TMA, we recently discovered an interesting chemistry between TMA and reactive metal substrate (i.e., Li metal). Based on our observation, TMA reacts with Li-metal during ALD cycles then producing a carbon composite layer on the top of Li metal, not following conventional ALD mechanisms. It may come from highly reactive nature both of TMA and Li metal. Despite of wide use of TMA, this phenomenon has not been reported to date because Li metal is passivated by several layers such as Li2CO3, LiOH and Li2O even stored in glovebox environment. In this presentation, we will discuss the chemistry between TMA and Li metal found by in-situ QCM, high-resolution XPS, SEM, and Raman studies. Then we will also demonstrate how this unexpected ‘side reaction’ can be utilized in Li-metal battery applications using liquid and solid electrolytes.

AA-TuP-61 Magnesium-doping in TiO2 Dielectric Films for DRAM Capacitor Applications
YU-KYUNG PARK, Cheol-Seong Hwang (Seoul National University, South Korea)

This work reports the electrical and structural behavior of Mg-doped TiO2 (MTO) thin film as a dielectric layer for a dynamic random-access memory capacitor. As a successor of the current ZrO2-based high-k (k ~ 40) capacitor dielectric thin film, rutile-structure TiO2 film with a k-value > 100 draws great attention [1]. However, its low band gap (~3.1 eV) incurs concerns about the leakage current. Al-doping decreased the leakage current significantly by the acceptor-doping effect [1]. However, Al-doping degrades the ­k-value of the TiO2 film to 70 - 90, depending on its concentration. This work exploits another acceptor-type dopant, Mg, as an alternative to Al to decrease the leakage current while minimizing the k-value degradation. Figure 1(a) shows the variations in the equivalent oxide thickness (EOT), which is the physical thickness of the film multiplied by 3.9/k, grown on the Ru substrates. The bulk k-values of the TiO2 film and MTO can be calculated from the slopes of the linear fit graphs and are ~113 and ~90 for the films, respectively. MTO exhibits a higher k-value than ATO, which is known to be ~80. This effect was ascribed to the relaxation of oxygen sublattice caused by oxygen vacancies, and the reduction of Mg2+ ions can promote the rutile phase transformation of TiO2. When Mg2+ ions are doped into TiO2, they are successfully incorporated at the substitutional site of Ti4+, and one oxygen vacancy is formed [2]. Furthermore, because the ionic radius of Mg2+ (86 pm) is larger than that of Al3+ (67.5 pm), tensile strain can be relaxed when the Ti4+ (74.5 pm) ions are substituted with Mg ions. In contrast, the inhibition of the phase transformation by Al doping is known due to the lattice constraint effect [3]. The best electric performance of MTO was observed at an equivalent oxide thickness (EOT) of 0.44 nm and a physical oxide thickness (POT) of 8 nm with a J value of 6.7 × 10−8 A/cm2 at +0.8V. Figure 1(b) compares the J-V curves of 20-nm-thick MTO and ATO samples in both the positive and negative bias regions. The MTO sample shows lower leakage current than the ATO sample at +0.8V, with a remarkable difference of nearly two orders of magnitude, is observed in the high-voltage region. The shift in band offsets caused by Mg doping may be more effective than that induced by Al doping due to the lower number of valence electrons of Mg ions than Al ions. Therefore, Mg doping in the TiO2 film is a promising approach to improve the capacitor dielectric performance.

References [1]W.J.Jeon, ACS Appl.Mater. 6,21632-21637(2014) [2]L.Chouhan, J.Mater.Scien. 32,11193-11201(2021) [3]Dorian A.H.Hanaor, J.Mater.Sci. 46,855-874(2011)

View Supplemental Document (pdf)
AA-TuP-62 Electrical Characteristics Modification of Dual Gate Oxide Semiconductor Thin-film Transistor
Sehun Jeong, Sang-Hee Ko Park (Korea Advanced Institute of Science and Technology)

Thin film transistors (TFTs) are a critical element in the development of next-generation displays, and oxide semiconductor TFTs have garnered considerable attention due to their advanced electrical properties. Specifically, oxide semiconductors have high electron mobility, low leakage current, and remarkable electrical stability, making them suitable for use as active channel materials in TFTs.[1] To further control the electrical characteristics of oxide semiconductor TFTs, we propose using dual gate structures, which offer controllable subthreshold swing and rapid hard saturation. Our research has shown that the capacitance ratio between the top gate insulator and the bottom gate insulator in dual gate structured oxide semiconductor TFTs can be used to control the subthreshold swing and that the voltages needed to switch between the on and off states can be adjusted by changing the subthreshold swing.[2] Furthermore, we have demonstrated that hard saturation, a crucial characteristic for TFTs used in display applications, can be achieved by applying voltage bias to both gates.[2] In addition to their electrical advantages, dual gate structures also offer structural benefits, such as the ability to block external light from both the top and bottom gates. Our findings suggest that by tuning the gate insulators in dual gate structured oxide semiconductor TFTs, we can achieve ultra-rapid switching properties with hard saturation, making them a promising candidate for advanced TFTs in next-generation displays. Overall, our work highlights the potential of dual gate structured oxide semiconductor TFTs as a new and exciting avenue for the development of advanced displays.

Reference

[1] J. B. Ko, S. H. Lee, K. W. Park, S. H. K. Park, RSC Adv.2019, 9, 36293.

[2] J. Kim, S. Jeong, S. Sarawut, H. Kim, S. U. Son, S. Lee, G. Rabbani, H. Kwon, E. K. Lim, S. N. Ahn, S. H. K. Park, Lab Chip2022, 22, 899.

AA-TuP-63 Control of Hydrogen Content via Super-Cycle ALD Deposited Al2O3 Gate Insulator
Hwayoung Kim, Sang-Hee Ko Park (Korea Advanced Institute of Science and Technology)

Oxide thin film transistors (TFTs) have gained much attention in the display market due to their outstanding properties such as high mobility and low off-current. Of the various types of oxide semiconductors, InGaZnO (IGZO) and InSnZnO (ITZO) deposited through atomic layer deposition (ALD) are being actively studied. Because ALD can precisely control the film thickness and enables conformal deposition, it is one of the best methods for depositing oxide semiconductors that are sensitive to the number of carriers, and even it can form complex structures. In addition, multi-component oxide semiconductors can be deposited through the super-cycle method.

In oxide TFT, carrier concentration is a major factor determining device characteristic; and hydrogen, of which content is difficult to control, greatly affects the carrier concentration. The hydrogen diffused into the semiconductor can act as a donor, but it can also act as a defect passivator. Therefore, it is important to optimize the interface between semiconductor and gate insulate layer, where the hydrogen diffusion occurs a lot. For the gate insulate layer, ALD-deposited Al2O3 with a high dielectric constant and excellent barrier properties for moisture and air, was used. The Al2O3 layer can be grown by plasma-enhanced ALD (PE-ALD) and thermal ALD (T-ALD) that uses O2 plasma and H2O as an oxygen reactant respectively. Therefore, it results in distinct hydrogen content and characteristics[1]. In studies so far, the ALD super-cycle method has been used only for active layers to control the carrier concentration. In this study, the hydrogen content in gate insulator was controlled through the super-cycle of PE-ALD and T-ALD. One super-cycle consisted of n cycles of T-ALD and m cycles of PE-ALD, and the ratio (n:m) was varied between 0:1, 1:1, 1:4, and 1:8. As the number of T-ALD cycle in super-cycle increases, the mobility gradually increases by 100 cm2/Vs. Furthermore, damage on semiconductor during the gate insulator deposition was reduced as the PE-ALD cycle ratio decreases. Since the carrier concentration differs depending on the oxide semiconductor, it would be possible to fabricate a TFT with an appropriate number of carriers by finding an optimized super-cycle ratio for each type of semiconductors.

Reference

[1] Park, J., Kim, H., Choi, P. et al. Effect of ALD- and PEALD- Grown Al2O3 Gate Insulators on Electrical and Stability Properties for a-IGZO Thin-Film Transistor. Electron. Mater. Lett. 17, 299–306 (2021).
AA-TuP-64 Atomic Layer Deposited ZnO and Al2O3 on Nonwoven Fibre Materials – Improving Antimicrobial Properties and Moisture Resistance
Laura Keskiväli (VTT Technical Research Centre of Finland); Paavo Porri (University of Helsinki, Finland); Satu Salo, Kimmo Heinonen, Ali Harlin (VTT Technical Research Centre of Finland)

Atomic layer deposition (ALD) is an excellent technique to produce different inorganic-organic hybrid materials. Self-terminating nature of ALD cycles helps attaining reliable coverage of the complex nonwoven fibre structures. Low-temperature deposition of metal oxides can be used to improve antimicrobial properties of the hybrid materials and to enable effective decontamination and moisture resistance.1,2 These properties are beneficial in personal protective equipment, such as technical masks.

In this study we deposited zinc oxide (ZnO) and aluminum oxide (Al2O3) on nonwoven viscose (Lyocell) and foam-formed cellulose fibres (JKL, from VTT Jyväskylä pilot plant).3The 75-400 cycles of ALD ZnO were produced with Picosun R-200 ALD reactor from diethyl zinc (DEZ) and H2O at 80 °C. Furthermore, additional 7 cycles of Al2O3 (TMA, trimethylaluminium + H2O) on ZnO coatings were studied. The visual appearance and distribution of ZnO was analysed by SEM-EDS. Hydrophobicity (contact angle measurement) was tested with deionized water. Furthermore, antimicrobial properties, filtration efficiency and particle shedding were studied. For antimicrobial properties, modified ISO 22196, MS2 virus and S.Aureus bacteria were used.

According to the contact angle measurements, Lyocell with 150 c and 300 c of ZnO and additional 7 c of Al2O3 were hydrophobic. With JKL cellulose samples no proper hydrophobicity was reached. ALD coatings did not affect on filtration efficiency, even though the ZnO coating penetrated throughout the fibre sample sheets and the amount of ZnO was equivalent on both sides according to SEM-EDS results. Particle shedding results revealed the differences in stability of the ZnO and Al2O3 treatments between the two fibre substrates. In the case of Lyocell, the ALD coating seems to be firmly attached and prevents the fibre particle shedding as well. In the case of JKL samples, the number of shedded particles exceeds the reference sample with 150 cycles or more of ZnO ALD, indicating the shedding of the ALD coating material. Antimicrobial efficiency was notable since both fibre types performed well already with 75 cycles of ZnO. All samples were microbicidal for both bacterial and viruses, and many of the samples exceeded the microbicidal impact of 3 log cfu/sampe, which is considered as an excellent effectiveness (Figure 1). ZnO ALD coating seems to have stronger microbicidal impact when deposited on foam-formed cellulose (JKL) compared to viscose material (Lyocell).

View Supplemental Document (pdf)
AA-TuP-65 Evaluation of Encapsulation Characteristics of SixSnyOz Thin Film for OLED
Sang Yong Jeon, Yong Hee Kwone, Sang Chan Lee, Tae Seok Byun, Young Jae Im, Sang Ick Lee (DNF Co. LTD.)

The current display market is led by OLED(Organic Light Emitting Diodes), and mass production of QNED(Quantum Nano-Emitting Diode) and Micro LED is being attempted. Since these display devices are vulnerable to moisture and oxygen, encapsulation is essential. A low WVTR(Water Vapor Transmissiion Rate) is required for the encapsulation film, and an appropriate refractive index is required to increase light extraction efficiency.

In this paper, in order to confirm that WVTR characteristics can be improved by doping Sn into SiO2 and the refractive index can be controlled according to the Sn concentration, a SixSnyOz thin film was deposited through PEALD process and its properties as an encapsulation film were evaluated.

For Si and Sn precursors, NSi-01 and DTP-01 developed by DNF were used(Table 1), and N2O was used as the reaction gas. After depositing the SixSnyOz thin film by controlling the vapor pressure of each precursor, the basic characteristics were analyzed(Fig 1a). As a result, it was confirmed that the refractive index and concentration can be controlled by adjusting the vapor pressure(input amount) of each precursor(Fig 1b). Through TEM mapping analysis, there were confirmed that Si and Sn were evenly distributed(Fig 2). As a result of analyzing the stress and film density according to the Sn concentration, it was confirmed that as the Sn concentration increased, the stress changed from compressive stress to tensile stress and the film density increased.(Fig 3) For transmittance and WVTR analysis, SixSnyOz was deposited on PEN (Poly Ethylene Naphthalate) film. The transmittance measured by UV-Vis. was close to 100%. The WVTR of the SixSnyOz film measured using MOCON's AQUATRAN 2 equipment showed excellent characteristics compared to SiO2 of the same thickness(Fig 4).

Through this, the possibility of encapsulation application of SixSnyOz, which can control the refractive index and has excellent properties as an encapsulation film, was confirmed.

View Supplemental Document (pdf)
Session Abstract Book
(582KB, Jul 29, 2023)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD2023 Schedule