ALD/ALE 2024 Session AF-MoP: ALD Fundamentals Poster Session

Monday, August 5, 2024 5:45 PM in Room Hall 3
Monday Evening

Session Abstract Book
(741KB, Jun 24, 2024)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

AF-MoP-1 Depositing a Uniform Thin Film of Al2O3 Using Atomic Layer Deposition (ALD) onto 2D Electronics to Provide Protective Capping and Surface Passivation
Sangwoo Lee, Joonbong Lee, A young Cho, Dae Haa Ryu, Hyunbin Chung, Ki Buem Kim, Taekjib Choi (Sejong University)

Our research focuses on the utilization of passivation layers in semiconductor products to shield materials from environmental degradation. These layers must demonstrate high chemical resistance and a low surface recombination rate to effectively protect the semiconductor surface. Aluminum oxide emerges as a suitable material for such layers due to its excellent chemical resistance, etch selectivity, density(2.5-3.8 g/cm3), and low dielectric constant(ranging between approximately 4 and 9). We investigate the properties of aluminum oxide through atomic layer deposition (ALD) parameter control and post-treatment methods like rapid thermal annealing (RTA) and UV treatment.

Acknowledgements

1. This work was supported by the National Research Foundation of Korea(NRF) grant funded by the Korea government(MSIT). (No. NRF-2022M3D1A2054488)

2. This work acknowledges the support of the National Research Foundation of Korea (NRF) grant funded by the Korea government(MSIT) (NRF; Grant Mo. NRF-2021R1A2C2010781).

AF-MoP-2 Developments in Processing Large Area 2D Materials and Metals via ALD
Nils Boysen, Rahel-Manuela Neubieser (Fraunhofer IMS); Florian Zimmermann, Kai Oliver Brinkmann (University of Wuppertal); Marvin Michel (Fraunhofer IMS); Thomas Riedl (University of Wuppertal); Anjana Devi (Ruhr University Bochum)

ALD processing of 2D materials and metals are in great demand to realize next-generation devices with intricate structures and feature sizes in the nanometer regime. The joint research activities between RUB and IMS in collaboration with BUW have focused on the rational development of new precursors and ALD processes on chip- and wafer-level (8"). High quality materials such as MoS2, WS2, Ag, and Cu were realized among others, which are highly relevant for different micro- and optoelectronic applications.

The layered 2D materials MoS2 and WS2 possess unique functional properties which can be exploited for a variety of applications. In our recent studies, we have developed new MoS2 and WS2 ALD processes on 8" Si wafers with promising characteristics of the deposited materials. The thermally-driven ALD process with an amide-based Mo precursor [Mo(NMe2)4] and H2S yielded MoS2 layers at very low deposition temperature of 100 °C (Figure 1) on glass and Si/SiO2 substrates. The resulting films exhibited promising gas-sensing behavior towards NO2, NH3 and H2S.[1] At higher deposition temperatures (> 300 °C), crystalline WS2 films on 8” Si wafers were deposited. This study not only demonstrates the scalability of MoS2 and WS2 on different substrates, but gives a perspective for the fabrication of advanced sensor structures in the future.

In another important field, we focused on the development of Cu and Ag precursors for spatial ALD (SALD) of the respective metals, which are especially important as electrode material for next-generation solar cells. We focused on Cu and Ag precursors based on carbenes that are specifically tailored to impart high thermal stability, while still possessing high reactivity. These new precursors enabled promising SALD processes in terms of very low deposition temperatures (60 °C) and high growth rates. These notable features prompted us to integrate the SALD Ag films in organic solar cells as an electrode material for the first time (Figure 2) displaying encouraging properties.[2]

In summary, the new and promising developments in terms of precursors and new ALD processes have prompted us to further explore the field of 2D materials and precious metals to make advancements in functional device applications. View Supplemental Document (pdf)
AF-MoP-3 Sacrificial Copper Nitride Layer for PEALD of Copper
Sakari Kettunen (University of Helsinki); Mariska Schalk (Eindhoven University of Technology); Mykhailo Chundak, Mikko Ritala, Matti Putkonen (University of Helsinki)

Initial island formation during film deposition is well-known, although mostly unwanted behaviour while depositing thin metal films. This agglomeration is a result of the minimization of chemical potential, where coalescence with other metal atoms is preferred especially on silicon and metal oxide surfaces. While aiming to uniform sub 10 nm metal interconnect films selection of the substrate as well as tailoring interface layers have detrimental role.1

Cu3N is considered an interesting seed layer for copper depositions, and it also offers indirect route to metallic copper thin films by annealing due to its low decomposition temperature of ~200 oC under H2. It has also gained increased interest as catalyst as well as solar absorbing material. Cu3N has been previously deposited by thermal ALD by using [Cu(sBuAMD)]22, Cu(dmamb)23 or Cu(hfac)24and ammonia at 100-190 oC, depending on the copper precursor used. Also PEALD has been utilised with Cu(hfac)2 and NH3 plasma for preparing nanocrystals at 150 oC.5

In this work Cu and Cu3N thin films were deposited by PEALD using Cu(dmap)2 as a metal precursor. Depositions were carried out by using Beneq TFS-200 either in direct or remote plasma set-up. Copper thin films were deposited while using Ar as carrier gas and H2 as plasma gas. GPC of 0.21 Å/cycle and 0.37 Å/cycle were achieved in the remote and direct plasma configurations, respectively. Cu3N was obtained by remote PEALD with GPC of 0.6 Å/cycle at 65 °C when the carrier gas was switched to N2.

While depositing Cu/Cu3N onto Si substrates it was observed that thin intermediate Cu3N layer was converted to metallic Cu. According to XPS after removing surface contaminations by sputtering no N 1s signal were detected indicating Cu3N conversion.

It was observed that to obtain conductive Cu films over 500 cycles (appr. ~11 nm when deposited by remote PEALD) were needed when using Cu(dmap)2 + H2 plasma process alone. By contrast, when starting with 75 Cu3N cycles, already 175 Cu cycles deposited a continuous film with a thickness of 5.7 nm as measured by EDS.

References:

(1)Y. Yao, et al. Chem. Mater.2023, 35 (5), 2155–2164

(2)Z. Li, et al. Chemical Vapor Deposition2006, 12 (7), 435–441

(3)J.-M. Park, et al. Thin Solid Films2014, 556, 434–439

(4)T. Törndahl, et al. J. Electrochem. Soc.2006, 153 (3), C146

(5)L.-C. Wang, et al. ACS Appl. Nano Mater.2018, 1 (7), 3673–3681

View Supplemental Document (pdf)
AF-MoP-4 Characteristics of Silicon Nitride Thin Films Deposited Using a Two-Step Plasma Enhanced ALD Process at Very High Frequencies
DA EUN BAE, HYUNG MIN KIM (CN1 Co., Ltd.); SANG ICK LEE (DNF Co., Ltd.); JAE HO CHOI, Jae Hack JEONG (CN1 Co., Ltd.)

Despite its many advantages such as high step-coverage, atomic-level thickness control, and uniform film deposition, atomic layer deposition (ALD) processes have encountered difficulties in applications outside of semiconductor manufacturing due to their low deposition rates. Additionally, a high temperature (>400°C) process is required for high-quality properties when the thermal ALD is used for the deposition of nitride films such as SiNx, AlN, TiN, and TaN, leading to active development of the plasma-enhanced ALD (PE-ALD) processes and its precursor sources.

We have studied the characteristics of silicon nitride (SiNx) films deposited using a two-step PE-ALD process shown in Fig. 1 at low temperatures (≤200°C) and very high frequencies. Fig. 2 shows the NSi-01 precursor used as the silicon source. The two-step reaction uses NH3 and N2 plasma as reactants. The purposes of two-step PE-ALD are high deposition rates, low damage, and reduction of impurity contents. Electron temperature (Te), ion flux, and ion density were monitored. Thickness and refractive index were measured using ellipsometry. Impurity content was measured through XPS depth profiling. Substrate damage due to plasma was examined by TEM.

View Supplemental Document (pdf)
AF-MoP-5 Analysis of ALD Thin Films by Combining MEIS and ERDA Techniques
Aqsa Ashraf, Kenichiro Mizohata (Helsinki Accelerator Laboratory, University of Helsinki); Mikko Ritala (HelsinkiALD, University of Helsinki)

Thin film characterization in fast and reliable way is essential for the development of materials synthesis methods for present day and future applications. Requirement ofquantitative depth profiling with sub nanometer depth resolution atsurfaces and interfaces has pushed ion beam based analytical techniquesto their limits and extensive effort in developing new analyticaltechniques are required. Additional challenge in the analysis of thinfilms is light impurity elements, especially hydrogen. Ion beam-based materials characterization methods provide stand-alone solutions based on relatively simple kinematics and cross sections. Medium Energy Ion Scattering Spectroscopy (MEIS) has emerged as a powerful technique for probing surface and near-surface structures with high precision and sensitivity.

MEIS with high resolution spectrometers hasincreasingly applied to analyze compositional depth profiles of a nano-scale thin films. However, MEIS has limitations in analysis of verylight elements in heavier matrix. To overcome these limitations, elasticrecoil detection analysis (ERDA)is used. During last decades The ERDA method has become one of thestandard techniques, especially for light element depth profiling ofthe thin films. However, ERDA depth resolution at the surface is orderof few nm in the surface region. In this work we present MEIS and ERDA setups for thin film analysis inUniversity of Helsinki.

Understanding the structural and compositional properties of ALD thin films is crucial for optimizing their performance. The present work concentrates on demonstrating benefits gained by thecombined use of ERDA and MEIS techniques in the analysis of thin ALD films. Combining these methods high depth resolution is achievable. This integrated approach not only provides a deeper understanding of ALD thin films but also paves the way for the development of innovative materials and devices with enhanced performance and functionality.Theadvantages and limits of this method are discussed in detail.

Keywords: MEIS, ERDA, Depth Profiling, Elemental Composition, Thin films

AF-MoP-6 Novel Liquid Lanthanide Precursors with Low Viscosity and High Volatility for Atomic Layer Deposition of Lanthanide Oxide Thin Films
Hanbyul Kim, Hansol Oh, Beomseok Ryu, Yongjoo Park (SK Trichem)

The necessity for highly conformal thin films of transition metals and metal oxides in various technological applications emphasizes the significance of lanthanide precursors. Lanthanide oxide films have many applications in catalysis and semiconductor devices. In this study, we investigated the properties of various Lanthanide precursors for semiconductor devices. Among them, Gadolinium oxide, well-known for its high dielectric constant, substantial band gap energy, elevated refractive index, and consistent thermodynamic stability at high temperatures, is under consideration for integration into CMOS devices, antireflective coatings on solar cells, and passivation layers for III-V semiconductors.[1]

Lanthanide oxide films have been grown by many techniques, including PVD, CVD, and ALD. ALD is an important technique since it gives Angstrom-level thickness control and can afford conformal coverage in high aspect ratio features. ALD precursors reported to date for Gadolinium oxide films have problems that most of these compounds have solid properties, and these solid precursors usually exhibit undesirably high melting points. Even in liquid phase, they are not suitable for ALD processes due to their high viscosity and low volatility characteristics.[2]

Herein, we developed various novel liquid lanthanide precursors (Gd, Sm, Dy, Ho, Yb, Lu) with low viscosity, good volatility and excellent thermal stability. The compounds were confirmed by NMR, and evaluated physical & chemical properties such as volatility, vapor rate, melting point, and vapor pressure, thermal stability and chemical reactivity. In this study, we anticipate that the newly developed Lanthanide precursor will be a promising candidate for ALD processes. It possesses properties suitable for application in next-generation semiconductor devices.

References

[1] Organometallics. 2021, 40, 1270-1283.

[2] Inorg. Chem.2013, 52, 286−296

AF-MoP-7 Novel Amidinate Ligand-based Scandium Precursor for Atomic Layer Deposition of Sc2O3 Thin Films
Hansol Oh, Hanbyul Kim, Beomseok Ryu (SK Trichem); Woojin Jeon (Kyunghee University); Yongjoo Park (SK Trichem)

Scandium oxide (Sc2O3) thin films are essential for various applications due to their valuable intrinsic material properties and play a broad role in applications. Heat-resistance, high melting point, high optical transparence, high refractive index and laer-damage coatings. [1]

The high dielectric constant (high-k) and the large intrinsic bandgap(Eg = 6.0 eV) makes this helpful material as a high-k material for implementation as a potential gate dielectric in metal oxide semiconductor field effect transistors. [2]

Therefore, some of Sc precursor complexes have been reported; most of these compounds have solid properties, and these solid precursors usually exhibit undesirably high melting points. They have superior thermal stability but have the disadvantage of low vapor pressure. Furthermore, they are often bulky and suffer from low reactivity with oxidants. [3]

Herein, we developed a novel Amidinate ligand-based liquid scandium precursor with very low melting point, very low viscosity, good volatile and thermal stability to solve this problem. The thermal atomic layer deposition (ALD) of the newly designed scandium precursor has developed using ozone as an oxygen source. ALD growth behavior was observed for the growth of the Sc2O3 films within an ALD window of 300 to 320 oC on SiO2 substrate and a growth per cycle (GPC) up to 0.065 nm/cycle at 300oC. The resulting Sc2O3 films possess a cubic phase crystalline structure while avoiding any carbon and nitrogen contamination. We fabricated Sc2O3 doped ZrO2 capacitors with TiN electrode using ALD and evaluated their electrical properties such as dielectric constant and leakage current.

AcknowledgmentsThe authors would to thank Kyung Hee University for their support and permission to publish this collaborative work.

References

[1] Thin Solid Films 368 (2000) 116–124.

[2] J. Phys. Chem. B, Vol. 103, No. 43, 1999

[3] Electrochem. Solid-State Lett. 9 (6) F45-F48 (2006)

AF-MoP-8 Process Development of Cobalt Metal ALD on Novel ALD Machine
Mathias Franz, Lysann Kaßner (Fraunhofer ENAS); Camilla Thurm (University of Technnology Chemnitz); Linda Jäckel (Fraunhofer ENAS, Center for Microtechnologies (ZfM), Chemnitz University of Technology); Marcus Daniel (scia Systems GmbH); Frank Stahr (Forschungs- und Applikationslabor Plasmatechnik GmbH); Stefan E. Schulz (Fraunhofer ENAS, Center for Microtechnologies (ZfM), University of Technology Chemnitz)

ALD of metallic films is a broad an ongoing topic of research. The conformal deposition of metallic cobalt is relevant for modern interconnects1, seed layers for electroplating2, and antibacterial coatings3. One of the essential process parameters is the deposition temperature. Especially low process temperatures enable depositions on substrates with a critical thermal budget. Here, we present the process development on the novel scia Atol 200 ALD reactor.

The process development was done on a scia Atol 200 reactor, which was designed and fabricated by scia Systems GmbH in cooperation with Fraunhofer ENAS, the Chemnitz University of Technology, and Forschungs- und Applikationslabor Plasmatechnik GmbH. Figure 1 shows a photo of the ALD reactor. We integrated 2 CEMs, 2 Vapbox Systems, and 2 Bubblers as evaporation units. This provides the opportunity to use a wide range of precursors, including substances with low vapour pressure as well as high vapour pressure. The reactor design was accompanied by CFD fluid flow simulations. Figure 2 shows the precursor concentration on top of the wafer surface. The concentration deviation is less than ±10 %. The process development was done using [Co2(CO)6(HC≡CC5H11)] as precursor. The synthesis was reported earlier by Georgi et al.4. The processes took place on standardised 200 mm Si wafers with a preliminary 100 nm SiO2 layer. We could show that all three types of evaporators were suitable to bring this cobalt complex into the gas phase. The following results are based on evaporations using a bubbler with Ar carrier gas. A full ALD cycle consists of precursor pulse, Ar purge, H2 plasma pulse, and a second Ar purge. The deposited cobalt films were analysed by in-vacuo ellipsometry to determine in-line the film growth rates. Figure 2 shows the growth rates in the temperature range from 35 °C to 125 °C showing the ALD window for this process within the range of 50 °C to 110 °C.5 The process was optimised regarding pulse and purge duration times to ensure stable saturation conditions. Ex situ measurements with XPS confirm that cobalt is in metallic state.

We demonstrated the successful development of the scia Atol 200 ALD system with a low temperature ALD process for metallic cobalt.

This work was funded by the EFRE fund of the European Commission and by funding of the Free State of Saxony of the Federal Republic of Germany (project ALMET, grant number 100302427).

References

1 Lanzillo et al., doi: 10.1109/TVLSI.2021.3126541

2 Liu et al., doi: 10.1149/1945-7111/ac862d

3 Jeong et al., doi: 10.1016/j.tsf.2008.10.063

4 Georgi et al., doi: 10.1039/c4tc00288a

5 Franz et al., doi: 10.3762/bjnano.14.78

View Supplemental Document (pdf)
AF-MoP-9 High Quality TiN Plasma Enhanced Atomic Layer Deposition on SiO2 Substrate with AlN Interfacial Layer via in situ Atomic Layer Annealing
Valentina Korchnoy (Technion Israel Institute of Technology); Inna Popov (The Hebrew University of Jerusalem); Maria Koifman Khristosov (Technion Israel Institute of Technology); Michael Lisiansky (Tower Semiconductor)

TiN layer becomes an important electrode material for devices with complex 3D geometry due to its scalability, compatibility with CMOS technology and low resistivity. The resistivity of thin TiN films depends on many factors, among them crystalline morphology of the film plays a dominant role. There are several factors determining the crystalline properties of TiN films deposited by PEALD. As was shown in [1] the crystallinity of the underlying substrate is an important factor influencing the grain size of a thin TiN PEALD film, and thus, its resistivity. There is few knowledge about the role of the interfacial layer (IL) on structural properties of thin polycrystalline TiN films grown by ALD on amorphous substrate. Crystalline properties of the IL, primarily, lattice matching to on-grown TiN layer and the ability of IL to be introduced in the advanced technology processes are the dominant factors that should be considered. PEALD AlN film as the IL satisfies both these requests. Another factor that promotes crystallinity of AlN PEALD film is the atomic layer annealing (ALA). It supplies an additional energy to the deposition process, stimulates surface reactions, increases the metal adatoms mobility, and thus promotes densification of the deposited film [2].

The purpose of this work was to investigate the effect of AlN IL and ALA technique on the crystalline properties of very thin (~ 14 nm) TiN layers deposited on Si/SiO2 substrate.

The AlN and TiN layers were deposited on 100 Å thermal oxide layer grown on (001) Si substrate. The deposition was done by PEALD, using either N2 or NH3 plasma, by applying layer-by-layer, in situ ALA treatment using Ar plasma in each ALD cycle (FIG.1). The reference TiN samples were grown on the crystalline substrate (sapphire), that enables an excellent matching of AlN IL to the substrate. The reference samples provide data about TiN film grown at perfect conditions.

The study was conducted using numerous analysis techniques (TEM, EELS, STEM, XRD, XRR, AFM) and electrical characterization. The electrical resistivity of TiN films was measured using four-point probe Kelvin structure. The attached Figures 2,3,4,5,6,7 and Table 1 demonstrate the structural and electrical characteristics of 14 nm TiN layer. The lowest known resistivity of 70 µΩ.cm on the amorphous, and 10 µΩ.cm on the crystalline substrates were measured. The postdeposition annealing didn’t cause significant resistivity change. According to XRR, the densities of the deposited thin AlN and TiN films are close to the bulk densities of these materials. Discussion about the conductivity mechanism in thin TiN layers was considered. View Supplemental Document (pdf)
AF-MoP-10 Turning Online ALD and ALE Databases Into AI-Ready Tools for Development of New Sustainable Materials and Fabrication Processes
Adrie Mackus, Bart Macco (Eindhoven University of Technology); Bora Karasulu (University of Warwick); Jennifer D’Souza, Sören Auer (L3S Research Center at Leibniz University of Hannover); Erwin Kessels (Eindhoven University of Technology)

Artificial Intelligence (AI) presents unmatched opportunities to enhance ALD and ALE process development, not just by optimizing efficiency and reducing costs, but notably by driving innovation through data analysis, predictive modeling, and automation. However, the advancement of AI-based process development and material synthesis requires databases with extensive training data as input. Building on popular crowd-sourced databases containing ALD (DOI: 0.6100/alddatabase [https://www.atomiclimits.com/alddatabase/] ) and ALE (DOI:10.6100/aledatabase [https://www.atomiclimits.com/aledatabase/] ) process details as launched by TU/e on www.AtomicLimits.com in 2019, we have set up a program to start making these databases AI-ready. With this, we aim also to explore new opportunities for AI-inspired process development, materials design and autonomous experimentation. Bringing together three parties with complementary expertise, the program will focus on: defining integrated workflows and protocols for AI-aware ALD/E experiments and atomic-level simulation, coupled with the implementation of FAIR principles and neural language model-based knowledge extraction. We believe that the open-domain ALD/E databases resulting from this pilot will enable the AI-driven design of material stacks for highly-scaled devices, thereby reducing material usage and leading to overall more sustainable semiconductor fabrication processes. By sharing the protocols with the atomic-scale processing community, we hope to create a culture of AI-aware experimentation and simulation.

View Supplemental Document (pdf)
AF-MoP-11 ALD Process Characterization, Development, and Monitoring Using an Electron Impact Time-of-Flight Mass Spectrometer
Abdelhak Bensaoula, Coenraad De Koning, Carla Frege (TOFWERK); Thomas Nelis (BFH); Caroline Hain (Empa, Swiss Federal Laboratories for Materials Science and Technology, Switzerland); Carlos Guerra (Swiss Cluster)

Time of Flight Mass spectrometry (TOFMS) applications extend beyond materials science and technology and include industries spanning automotive, metallurgy, biotechnology, pharmaceutical, aerospace, etc. These mass spectrometers can be equipped with an electron impact (EI) source to measure neutral species, but the inlet stage can be designed to allow for (process) ionized species to be directly detected as well. Current TOFMS capabilities fulfil requirements of most industrial use-cases but the trend in advanced processes is to utilize energetic species to further enhance the manufacturing method. It is thus desirable to measure both the abundance of the neutral species but also that of the native ions. We present here a new member of the TOFMS instruments which allows just that.

The pgaTOF, an EI-TOFMS by TOFWERK, allows full m/q spectra acquisition at >1 kHz, up to 8000 mass resolution and 5 ppm mass accuracy, 106 dynamic range and a nominal mass range of >1000 Th. Thanks to an integrated filtering feature, our instrument allows for reduction/suppression of up to four user-selected masses which permits measurement of both main and trace compounds and avoids detector saturation and degradation issues. This feature is essential in ALD where precursor and purge masses signals are high while that of the reaction products are much smaller. The new instrument extends the EI-TOFMS capabilities to measuring native ions, such as those present in plasma-enhanced ALD. In our experiments, the TOFMS instruments were attached to various ALD reactors running different chemistries (Al, Cu, Li, etc.).

We will first present details of the EI-TOFMS instruments and its time resolution and sensitivity capabilities. We will then give examples of ALD results showing how real-time ALD process monitoring not only permits unique insights into the ALD chemistries, allows for significant time savings in recipes development but also determines excursions beyond the ALD mode or equipment malfunctions.

AF-MoP-12 Tailoring Cobalt Precursors Through Molecular Engineering
Jean-Pierre Glauber, Jorit Obenlüneschloß, David Zanders (Ruhr University Bochum); Seán Barry (Carleton University); Anjana Devi (Ruhr University Bochum)

Cobalt based materials are indispensable for numerous applications due to their appealing physical and chemical properties. Their scope of application ranges from integrated circuits (IC) over electrocatalysis to spintronics. Metallic cobalt is promising as interconnects in IC devices.[1] While cobalt oxides are highly efficient for the oxygen evolution reaction (OER),[2] the Weyl-semimetal cobalt disulfide (CoS2) is interesting for spin-to-charge conversions in the emerging field of advanced spintronic devices.[3] Such targeted applications require a synthesis route that allows film growth with precise thickness control on complex geometries. Atomic layer deposition (ALD) is the method of choice when it comes to meeting these demands and one crucial parameter is the precursor choice as its physiochemical properties directly influences the ALD process characteristics. Surprisingly, in the literature, the class of all-nitrogen coordinated cobalt precursors is rather underexplored.

With our goal to expand the library of Co precursors for ALD, we developed a series of new Co precursors by systematically introducing all nitrogen coordinating ligands to the metal center to fine-tune the volatility, reactivity, and stability. A selection of amides, imides, amide-adducts, pyrroles, and amido-amines have been studied, with particular emphasis on the influence of structural and chemical ligand variations on the physiochemical properties of the organometallic complexes.[4,5,6]. After establishing reliable synthesis routes for the range of Co complexes, characterization by complementary analysis methods (EA, EI-MS, SC-XRD) confirmed the anticipated structures and purity. Finally, thermal analysis and vapor pressure estimation gave first insights on their suitability as ALD precursors.

Among the Co complexes synthesized, the one depicted in Figure 1 a) were found to exhibit suitable thermal properties in terms of volatility and stability rendering them favorable for application in ALD processes. Interestingly, some complexes exhibited unusual coordination motifs: [Co{(NtBu)2SiMe2}2] arranges in a rare low spin Co(IV) configuration (Figure 1b)) and exhibited remarkable thermal stability in TGA (Figure 1c)). First saturation experiments with this compound showed the formation of a stable self-limiting monolayer on an alumina-coated quartz crystal microbalance (QCM).[6] In summary, we were successful in enlarging the precursor library of Co precursors and the study showcases, how small but distinct changes in the ligand moieties lead to significant changes in the physiochemical properties of the complexes and gives valuable insight for future precursor development.

View Supplemental Document (pdf)
AF-MoP-14 Optimization of the Growth of Atomic Layer Deposited Ta2O5 Thin Films for Large Area Electronics
Xiao Chen, Kham Niang, Babak Bakhit, Yuri Jeon, Judith Driscoll, Andrew Flewitt (University of Cambridge)

Tantalum oxide, Ta2O5, has been extensively studied as a promising high-k dielectric in semiconductor devices (1), as a capacitor dielectric in memory devices (2), and as an antireflection coating in solar cells (3). Ta2O5 has been deposited using various technologies, including e-beam evaporation (4), sputtering (5), and atomic layer deposition (ALD) (6, 7). Among these, ALD is particularly attractive technique due to its ability to precisely control stoichiometry as a result of the self-limiting growth process. In addition, ALD tends to produce thin films with high conformality and good uniformity over a large substrate area. This work focusses on optimization of ALD Ta2O5 for large area electronic applications where an amorphous morphology is favored as this allows excellent device-to-device uniformity, and substrate deposition temperature is limited by unconventional substrates such as glass and plastic.

Ta2O5 is grown by ALD from pentakis(dimethylamino)Ta (PDMAT) and water using a Savannah system from Cambridge Nanotech/Veeco. We have investigated various ALD process parameters such as the pulse time, purge time, substrate temperatures, and various post-deposition annealing temperatures. We have also investigated the physical, electronic and optical properties of the deposited thin films using various characterization techniques.

We show that the ALD Ta2O5 can be produced within a large process window and with good uniformity. At 200 °C, the saturated growth rate is ~ 0.6 Å/cycle, and thickness uniformity of ~ 95% is obtained across a 4-inch diameter wafer. The as-deposited Ta2O5 thin films are amorphous and require a post-deposition annealing at ~ 700 °C to become polycrystalline with a textured surface. We will correlate the morphology of the as-deposited thin films with their optical and electrical properties such as dielectric constants and breakdown voltages. View Supplemental Document (pdf)
AF-MoP-15 Bismuth Alkoxides for Deposition of Bismuth Chalcogenides
Jaroslav Charvot (University of Pardubice); Roman Parkhomenko, Mato Knez (CIC nanoGUNE, Spain); Amin Bahrami, Kornelius Nielsch (IFW Dresden); Filip Bureš (University of Pardubice)

Bismuth chalcogenides are semiconductors with attractive properties for thermoelectric applications. ALD of Bi2S3,[1]BiSe,[2]Bi2Se3,[3,4] and Bi2Te3[5]was reported using various precursors. BiCl3 is the most accessible precursors, but its rather low vapor pressure makes it difficult to evaporate. On the other hand, (Me2N)3Bi is very volatile but lacks thermal stability with decomposition starting around 120 °C and suffers from aging. Bismuth alkoxides were investigated previously and (tris(2,3-dimethylbutanolate)bismuth) (DMB3Bi) was selected, as the best candidate for Bi2O3 deposition.[6]

This study delves further into DMB3Bi and its analogues, aiming to identify easily accessible and suitable precursors for depositing bismuth chalcogenides by reaction with bis(trialkylsilyl)-, bis(trialkylstanyl)selenides and tellurides. Series of alcoholates is prepared by alcoholysis of (iPr2N)3Bi giving corresponding Bi-alkoxides in good yields. This method allows preparation in non-polar solvents like hexane, which makes the separation of products comfortable during up-scaled synthesis. The investigation is expanded further by examination of fluorinated alcoholates, where the best results are obtained with [(CF3)2CHO]3Bi acquired by simple synthesis starting from tris(4-methylphenyl)bismuthine.[7] Although having a relatively high molecular mass, [(CF3)2CHO]3Bi features high volatility with boiling point at 115 °C (0.5 torr).

Volatility and thermal stability of prepared materials are studied and discussed. Most of prepared precursors remain stable up to 180 °C and sufficient vapour pressure is achieved by heating below 90 °C (ca. 0.5 torr) giving temperature range wide enough for application in ALD. In the last stage, the most promising candidates are tested in ALD with aim to deposit Bi2Se3 and Bi2Te3.

[1] N. Mahuli, D. Saha, S. K. Sarkar, J. Phys. Chem. C2017, 121, 8136–8144.

[2] S. He, A. Bahrami, X. Zhang, M. O. Cichocka, J. Yang, J. Charvot, F. Bureš, A. Heckel, S. Schulz, K. Nielsch, J. Eur. Ceram. Soc.2023, 43, 4808–4813.

[3] P. Plachinda, M. Hopkins, S. Rouvimov, R. Solanki, J. Electron. Mater.2020, 49, 2191–2196.

[4] T. Sarnet, T. Hatanpää, M. Vehkamäki, T. Flyktman, J. Ahopelto, K. Mizohata, M. Ritala, M. Leskelä, J. Mater. Chem. C2015, 3, 4820–4828.

[5] M. Rusek, T. Komossa, G. Bendt, S. Schulz, J. Cryst. Growth2017, 470, 128–134.

[6] T. Hatanpää, M. Vehkamäki, M. Ritala, M. Leskelä, Dalt. Trans.2010, 39, 3219–3226.

[7] P. C. Andrews, P. C. Junk, I. Nuzhnaya, L. Spiccia, Dalt. Trans.2008, 2557–2568.

AF-MoP-16 From Microscopic to Macroscopic: How Morphology Impacts ALD and CVD Nucleation Kinetics
Andreas Werbrouck, Alex Shearer, Stacey Bent (Stanford University)

Nucleation delay is an intrinsic part of area selective deposition (ASD), (metal) atomic layer deposition (ALD) and chemical vapor deposition (CVD). While much earlier work has been done on this topic, earlier models often substantially simplify the morphology of the film and/or nuclei. Here we fill the gap of how diffusion and reactivity impact morphology and coalescence, and vice versa.

In the first part of this work we describe a kinetic Monte Carlo (KMC) code that allows us to study the time-dependent microscopic evolution of a monolayer in time. We discern three steps in developing a full model: in the first step (reaction), a flux of reactive molecules changes the coverage with substrate- and film-dependent sticking coefficients. In the second step (diffusion), the coverage of the surface stays constant, but the material is allowed to diffuse until it reaches an absorbing state. Finally, steps 1 and 2 are combined to describe a surface that undergoes both deposition and diffusion of the film. The simulations explain how surface morphology influences the reactivity, which in its turn influences the morphology. Intermediate, metastable states include migration of particles across the surface, the merging of nuclei, and typical diffusion-limited aggregation (DLA) morphologies. This depends on the flux of incoming material, sticking coefficients, binding energies and the deposition temperature.

In the second part of this work, the microscopic insights from the KMC are translated into a macroscopic system of equations that can be solved computationally. In this model, the edge length per surface area of the deposited material is used as a key parameter to describe the time evolution of the coverage and the thickness. Casting the system as a mathematical problem allows for faster solving, statistical noise due to the limited system size is eliminated, and a distinction between continuous (CVD) and self-limiting (ALD) growth can be made.

Our simulations and the accompanying model explain a range of experimental observations and encompass often implicit and empirical knowledge: e.g., in ASD, why overdosing often results in poor selectivity and why longer purge times can increase the selectivity; for metal ALD, why longer precursor dosing and/or pretreatment of the substrate increase nucleation and why longer purge times change the morphology; and for deposition onto 2D materials, why widely different morphologies are observed.

View Supplemental Document (pdf)
AF-MoP-17 Novel Imido Cyclopentadienyl-based Niobium Precursors for Atomic Layer Deposition of Nb2O5 Thin Films
Dong Hun Shin, Hyunseok Oh, Jiseul Chun (SK trichem); Seungwoo Lee, Woojin Jeon (Kyung Hee University); Yongjoo Park (SK trichem)

Niobium oxide (Nb2O5) has a high-k dielectric behavior that has been used in various applications such as capacitors, insulators, and sensors.[1] Nb2O5 thin film is also a constituent for multimetal oxide systems such as dopant with a high-k material, laminate structures.

Therefore, various Nb precursor complexes have been reported; most of these compounds have solid properties. It is known that solid precursors have disadvantages in the ALD process. Although only a few selected liquid precursors are used, most Nb precursors suffer from low thermal stability issue.[2]

Herein, we developed a novel liquid imido cyclopentadienyl-based Niobium precursor with high thermal stability (DSC = 339 ℃) to solve this problem. The thermal atomic layer deposition (ALD) of the newly developed Niobium precursor has evaluated using ozone as an oxygen source. Self-limiting Growth was observed for the growth of the Nb2O5 films at 300 oC on SiO2 substrate and a growth per cycle (GPC) up to 0.044 nm/cycle. The deposited films exhibited an ALD window of 280 – 320 oC, confirming their process compatibility with ZrO2 films. And thin film properties such as crystallinity, impurity content, and film density were confirmed through XRD, XPS, and XRR analysis. We also fabricated Nb2O5 doped ZrO2 capacitors using ALD and evaluate their electrical properties such as dielectric constant and leakage current.

AcknowledgmentsThe authors would like to thank Kyung Hee University for their support and permission to publish this collaborative work.

References

[1] Journal of Vacuum Science & Technology A, 2018, 36, 4, 1-9

[2] Chem. Mater, 2012, 24, 975−980

AF-MoP-18 Atomic Layer Deposition of In2O3 Thin Films Using New In Precursor
Donghyun Kim, Hansol Oh (SK Trichem); Yoon A Choi, Woojin Jeon (Kyung Hee University); Yongjoo Park (SK Trichem)

Indium oxide (In2O3) thin films are vital for numerous applications with their advantages such as wide band gap, high optical transparency, electronic properties and excellent chemical stability. In2O3 thin films frequently are used in combination with other metals. These days, although many applications require In2O3 films to be high-quality in terms of thermal stability and electronic properties for proper metal ratio, but it is hard to control previous indium precursors because of its basic properties (solid phase, low vapor pressure and poor thermal stability, pyrophoric issue etc.). So, it is necessary to develop the new indium precursor to solve the existing problems.[1][2]

We developed a novel liquid indium precursor with excellent volatile (TGA1/2 : 137℃), good thermal stability (DSC : 332℃) and non-pyrophoric properties. These properties should be a suitable role in large area and high volume ALD process in addition to high temperature deposition.

The thermal atomic layer deposition (ALD) of the new indium precursor has evaluated using O3 as an oxygen source. Self-limiting growth was observed for the growth of the In2O3 films at 280℃ to 350℃ on Si substrate and a growth per cycle (GPC) up to 0.1 nm/cycle at 300℃. The deposited In2O3 films possess a cubic phase crystalline structure without any carbon impurity. We fabricated MIM capacitor with In2O3 interfacial layer inserted between ZrO2 and TiN bottom electrode at 300℃. And we evaluated their electrical properties such as dielectric constant and leakage current in priority. Through C-V and I-V data, we confirmed that inserted In2O3 film is helpful for capacitor to improve dielectric constant and leakage current values compared to pristine ZrO2.

AcknowledgmentsThe authors would like to thank Kyung Hee University for their support and permission to publish this collaborative work.

References

[1] J. Phys. Chem. C 2016, 120, 9874−9883

[2] Chem. Eur. J. 2018, 24, 1–6

AF-MoP-19 Reductive Surface Chemistry with Plasma Electrons
Pentti Niiranen, Daniel Lundin, Henrik Pedersen (Linköping University, IFM)
Depositing elemental films of electropositive metals at low temperature requires powerful reducing agents. We have recently reported on an alternative CVD scheme using plasma electrons as the reducing agents for the deposition of metallic films.1 The process has been demonstrated using the metallocene’s of Co, Fe and Ni, and in addition shown to be inherently area selective to low-resistivity areas.2 We call the process electron CVD (e-CVD). However, the deposition chemistry is not understood and we will here describe our efforts to understand it in detail.

Despite employing a pulsed e-CVD process, separating the ferrocene and the argon plasma in time, iron films deposited from ferrocene are contaminated by high amounts of carbon. We will describe how we from quantum chemical modeling and a combination optical emission spectroscopy and mass spectroscopy have formed a plasma chemical model for ferrocene in the e-CVD plasma. From this, we attribute the carbon contamination to redeposition of cyclopentadienyl ligands upon contact with the plasma after desorption during the plasma step.

We studied the electron temperature, electron density and plasma potential in the hollow cathode discharge in our e-CVD process by a modified Sobolewski probe.3 From these measurements we show that the presence of ferrocene in the vacuum chamber has a significant effect on the plasma characteristics, increasing the electron temperature from below 1 eV to 1-5 eV. The electron density at the substrate was found to be in the 1016 m-3 range.

Combining our models for the plasma chemistry and plasma characteristics, with mass spectrometry and our previously developed QCM for e-CVD4, we will propose a surface chemical model for the film deposition in pulsed e-CVD and show indications of a self-limiting surface chemistry.

References:

1 H. Nadhom, D. Lundin, P. Rouf, and H. Pedersen, J. Vac. Sci. Technol. A 38, 033402 (2020).

2 H. Nadhom, R. Boyd, P. Rouf, D. Lundin, and H. Pedersen, J. Phys. Chem. Lett. 12, 4130 (2021).

3 P. Niiranen, A. Kapran, H. Nadhom, M. Cada, Z. Hubicka, H. Pedersen and D. Lundin, J. Vac. Sci. Technol. A. (2024)doi: 10.1116/6.0003408

4 P. Niiranen, H. Nadhom, M. Zanáška, R. Boyd, M. Sortica, D. Primetzhofer, D. Lundin, and H. Pedersen, Rev. Sci. Instrum. 94, 023902 (2023).

AF-MoP-20 Surface Chemistry of Atomic Layer Deposited of Gallium Nitride Films at Different Process Temperatures
houyem hafdi, Pamburayi Mpofu, Henrik Pedersen (Linköping University, IFM)

Gallium nitride (GaN) is a commonly used semiconductor owing to its high chemical and thermal stability, which makes it suitable for various applications in modern electronics. The deposition of such materials is favored by atomic layer deposition (ALD) using e.g., triethylgallium (TEG) as a precursor. This study comes as a follow up to our previous work1 where we proposed a surface ligand removal in ALD of GaN with TEG and NH3 plasma by adding an additional reactive pulse between the TEG and NH3/Ar plasma. We hypothesized that the release of C2H6 and C2H4 leads to the formation of a hydrogen-terminated surface.

Herein, we tested these hypotheses using mass spectrometry to assess the surface chemistry of GaN deposited using ALD with TEG and NH3 at different substrate temperatures (200–400 °C) and by adding either an Ar plasma pulse (100 SCCM with 2000 W plasma power), H2 gas pulse (50 SCCM H2 mixed with 100 SCCM Ar), or H2 plasma pulse (50 SCCM H2 mixed with 100 SCCM Ar and 2000 W plasma power). Detailed identification of potential gases released during GaN deposition will be presented and discussed for the hypothesis of surface ligand removal by a reactive extra pulse in the ALD cycle.

Deminskyi, P., Hsu, C. W., Bakhit, B., Rouf, P., & Pedersen, H. (2021). Surface ligand removal in atomic layer deposition of GaN using triethylgallium. Journal of Vacuum Science & Technology A, 39(1).

AF-MoP-21 Tuning the Wet Etch Rate of Silicon Dioxide Deposited by Plasma-Enhanced Atomic Layer Deposition
Keerthi Dorai Swamy Reddy, Marco Lisker (IHP - Leibniz Institut fuer innovative Mikroelektronik)

Silicon oxide (SiO2) is one of the quintessential materials necessary for the fabrication of semiconductor devices. Its application in the fabrication varies from being used as an etch stop layer, gate spacer, protective layer to protect sensitive areas around active devices and to maintain their feature size, capping layer, liner oxide, and insulating layer in the formation of through-silicon-via (TSV). Each application has one or many specific stringent requirements such as uniform thickness, stoichiometric layer deposition, minimal impurity content, lower surface roughness, conformality in high aspect ratio structures, low or high wet etch rates, and lower thermal budget. Commonly used deposition methods for SiO2 are thermal oxidation, low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), and atomic layer deposition (ALD). Although thermal oxidation and LPCVD deposition methods can deposit SiO2 complying with most of the requirements, they have higher thermal budgets and poor step coverage. PECVD can reduce the thermal budget but also results in poor step coverage. Thermal ALD overcomes these disadvantages but can obtain lower wet etch rates only at deposition temperatures above 300 °C. In contrast, the plasma-enhanced atomic layer deposition (PEALD) can obtain low wet etch rates at lower deposition temperatures. Applications like etch stop layer and liner oxide require low wet etch rates similar to thermally grown SiO2 while applications like insulating layer for TSV formation do not require low wet etch rates. In our work, we study how process parameters in PEALD can be varied so that a spectrum of different wet etch rates can be obtained from a single deposition method and utilized for various applications.

In this work, PEALD of SiO2 is deposited in a direct plasma ALD tool using Bis(diethylamino)silane and Oxygen as precursors with Argon as the carrier gas at 250 °C. The dependence of process parameters such as RF power and plasma exposure duration has been studied to understand its effect on wet etch rate in Hydrofluoric acid. Figure 1 (PDF) shows the dependence of the etch rate (nm/min) on RF power and plasma exposure time. For a lower RF power (50 W), SiO2 with a wet etch rate as high as 22 nm/min is deposited which can be applied for TSV formation whereas when RF power is increased to 150 W, SiO2 with a low wet etch rate of 7.5 nm/min is obtained which can be applied for gate spacer, liner oxide, and similar applications. The quality of the deposited layers is investigated further by employing various metrology techniques.

View Supplemental Document (pdf)
AF-MoP-22 Ruthenium Metal ALD from Versatile Diazadienyl Precursor
Jorit Obenlüneschloß, David Zanders, Jacqueline Klimars (Inorganic Materials Chemistry, Ruhr University Bochum, Bochum, Germany); Michael Gock (Heraeus Precious Metals GmbH & Co. KG, 63450 Hanau, Germany); Anjana Devi (Inorganic Materials Chemistry, Ruhr University Bochum, Bochum, Germany)

Interest in ruthenium is rising for various equally important reasons. It is a very promising catalyst material for hydrogen and oxygen evolution.[1] Its organometallic complexes are of significant importance for polymerization as Grubbs catalysts.[2] Nonetheless, the largest driving force has been the proclaimed use of ruthenium thin films to replace copper as interconnect material in integrated circuits (IC’s) and other semiconductor devices.[3] In this context, chemical vapor deposition (CVD) and atomic layer deposition (ALD) are the viable choices for material synthesis to meet the demands posed for film quality and thickness control across complex structured substrates. For each application the chemical precursor transformed to the metal needs to fulfill different requirements regarding stability, reactivity, and volatility.

After our recent successwith ruthenium metal CVD using the Ru(tBu2DAD)(Cym) precursor, we were motivated to investigate the structurally related precursor Ru(iPr2DAD)(Cym) to deposit ruthenium via ALD.[4] This precursor showed very promising thermal properties (Fig.1) indicating it to be a potential candidate for developing a Ru ALD process. Initial observations have hinted at a high reactivity with oxygen and prompted us to develop a new ALD process using the combustion/oxidative deposition chemistry often observed for ruthenium. This presentation will comprise the process development with respective film analysis and highlight the importance of precursor chemistry. The Ru films were subjected to analysis by means of RBS/NRA, XPS, XRR, AFM, and SEM. The new findings will be discussed in this presentation and differences on the material characteristics obtained from CVD will be compared.

[1]S.-Y. Bae, J. Mahmood, I.-Y. Jeon, J.-B. Baek, Nanoscale Horiz.2020, 5, 43–56.

[2]T. Bano, A. F. Zahoor, N. Rasool, M. Irfan, A. Mansha, J. Iran. Chem. Soc.2022, 19, 2131–2170.

[3]S. Dutta, S. Kundu, A. Gupta, G. Jamieson, J. F. Gomez Granados, J. Bommels, C. J. Wilson, Z. Tokei, C. Adelmann, IEEE Electron Device Lett.2017, 38, 949–951.

[4]D. Zanders, J. Obenlüneschloß, J. Wree, J. Jagosz, P. Kaur, N. Boysen, D. Rogalla, A. Kostka, C. Bock, D. Öhl, M. Gock, W. Schuhmann, A. Devi, Adv. Mater. Interfaces2022, 2201709.

View Supplemental Document (pdf)
AF-MoP-23 ALD of Nd2O3and ALD/MLD of Nd-Organic Thin Films Using New Precursor Combinations
Florian Preischel (Ruhr University Bochum); Amr Ghazy (Aalto University, Finland); Joerg Debus (TU Dortmund); Detlef Rogalla (Ruhr University Bochum); Maarit Karppinen (Aalto University, Finland); Anjana Devi (Ruhr University Bochum)

The unique 4f-electron shell configuration of rare earth (RE) materials results in exceptional magneto-optical properties. Specifically, Nd2O3 thin films feature efficient luminescence and its f-f transitions, that are highly sensitive to external stimuli, could be utilized for next-generation multi-parametric sensors.[1] In this perspective, hybrid Nd-organic materials are especially appealing, as appropriate organic molecules can serve as photoluminescence sensitizers and mitigate concentration quenching effects.[2] Nd2O3 is further known for its high dielectric constant with a low leakage current and could serve as a gate dielectric in downscaled metal oxide semiconductor field-effect transistors.[3]

Atomic layer deposition (ALD) and combined atomic/molecular layer deposition (ALD/MLD) for hybrid materials, are most favored methods for downscaling of layers due to their precise thickness control and possibility to tune the properties of the resulting material via the process conditions and precursor chemistry. Yet, the full potential of Nd2O3 ALD has been constrained, with [Nd(thd)3] being the only precursor examined. Its oxygen-coordination results in poor reactivity, necessitating high deposition temperatures and restricting the range of possible organic co-reactants for ALD/MLD.[4,5] This state-of-the-art scenario is a unique opportunity to explore the chemistry of Nd complexes and identify other suitable candidates.

In our search for new Nd precursors, we explored the amidinate-based precursor [Nd(DPAMD)3], where the chelating ligands stabilize the complex, while the M – N bonds facilitate the desired reactivity, as evidenced in our work on CVD of Nd2S3 and related RE materials.[6,7]

Employing [Nd(DPAMD)3] with water in a thermal ALD process, we achieved the deposition of polycrystalline cubic Nd2O3 thin films on silicon substrates under moderate conditions (Figure 1). Thereby, the GPC was constant around 1.5 Å in the ALD window from 200 °C to 300 °C. Moreover, the reactivity and versatility of [Nd(DPAMD)3] were demonstrated in proof-of-principle ALD/MLD experiments. Beyond the formation of hybrid layers with the frequently used terephthalic acid (TPA), initial experiments with other organics were promising, as confirmed by FT-IR (Figure 2). Our work thus broadens the spectrum of available organics and lays the foundation for the fabrication of previously inaccessible hybrid materials.

Ongoing studies are focused on phase control via the process parameters, investigating the properties of the Nd2O3 films by Raman scattering and magneto-photoluminescence spectroscopy and extending the range of organic co-reactants for ALD/MLD.

View Supplemental Document (pdf)
AF-MoP-24 Thermal Atomic Layer Deposition of Aluminum Nitride Films Using Tris(dimethylamido)aluminum and NH3
Okhyeon Kim, Hyunmin Han, Jian Heo, Ye Rim Choi, Changgyu Kim, Hye-Lee Kim (Sejong University); Hyunkee Kim, Jung Woo Park (Hansol Chemical Co., Ltd.); Won-Jun Lee (Sejong University)

Aluminum nitride (AlN) is a dielectric material with high thermal conductivity and electrical resistivity that is being investigated as an insulating or buffer layer for nitride semiconductor devices. However, they are typically prepared by chemical vapor deposition (CVD), which makes integration with temperature-sensitive substrates difficult. Therefore, atomic layer deposition (ALD), which can ensure low process temperature, is required for these applications. Currently, the ALD literature using suitable Al precursors is limited. AlCl3 suffers from high process temperature and Cl impurities. ALD using Al(CH3)3 requires NH3 plasma as a co-reactant and showed high impurity levels in the film at temperatures of 325°C or higher due to insufficient thermal stability. Thermal ALD using Al(N(CH3)2)3 (TDMAA) has also been reported at 170–400°C [1, 2], but detailed growth kinetics, film properties, and step coverage have not been described. In the present study, we report the effect of process temperature on the growth and properties of AlN films produced by the thermal ALD process using TDMAA and NH3. First, we investigated the growth kinetics by examining the saturation doses of TDMAA and NH3 and the ALD temperature window. Then, we investigated the film properties of the deposited films, such as composition, density, crystallinity, leakage current, and step coverage, at different temperatures ranging from 200–350°C. Finally, we discuss the effects of deposition temperature during thermal ALD of AlN.

Acknowledgments This work was supported by Samsung Display Co., Ltd (IAP2306126).

References [1] G. Liu et al., ECS Trans. (2011) [2] A. I. Abdulagatov et al., Russ. J. Gen. Chem. (2018)

AF-MoP-25 High-Temperature Atomic Layer Deposition of Silicon Oxide Thin Films Using Tris(dimethylamino)silane and Ozone
Okhyeon Kim, Yoonho Choi, Changgyu Kim, Hye-Lee Kim, Won-Jun Lee (Sejong University)

As the number of memory stacks of three-dimensional vertical NAND devices continues to increase, the aspect ratio of the channel hole also increases proportionally. Therefore, the use of atomic layer deposition (ALD) processes to fabricate dielectric layers is inevitable. In addition, high-temperature deposition is essential to achieve excellent film properties comparable to those of conventional oxidation-based methods. However, reports on high-temperature ALD of SiO2 are limited. For ALD processes using tris-DMAS, feasibility at 200–400°C and surface reaction at 550°C have been reported [1, 2], but detailed information on growth characteristics and thin film properties have not been described, especially for temperatures higher than 600°C. In this work, we report the effect of deposition temperature on the growth kinetics and film properties of SiO2 deposited by alternating injections of tris-DMAS and O3/O2. The deposition temperature was varied from 400°C to 700°C. First, we studied the reaction mechanism using in situ quartz crystal microbalance (QCM) at 400°C and measured the saturation dose of the precursor. Next, we investigated the growth rate and step coverage as a function of deposition temperature to determine the ALD temperature window. Finally, the physical and electrical properties of SiO2 prepared at different temperatures were analyzed and compared. As a result, we propose that 600°C is the optimal ALD temperature to obtain film property and step coverage simultaneously.

Acknowledgments This work was supported by the Technology Innovation Program (Public-private joint investment semiconductor R&D program (K-CHIPS) to foster high-quality human resources) (RS-2023-00232222) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea) (1415187363).

References [1] B. B. Burton et al., J. Phys. Chem. C (2009) [2] S. Ahn et al., J. Vac. Sci. Technol. A (2017)
AF-MoP-26 Ozone-based Atomic Layer Deposition of Titanium Dioxide (TiO2) using TTIP
Dharsana Pulikkottil Dinesh, Ola Nilsen, Henrik Hovde Sønsteby (University of Oslo)

Atomic layer deposition (ALD) is a key method for industrial applications of functional oxide thin films in the ICT industry; especially binary oxides for high-κ dielectrics [1, 2]. This study investigates an approach for the deposition of titanium dioxide (TiO2) thin films through ozone-based atomic layer deposition (ALD) utilizing titanium isopropoxide (TTIP) as the Ti precursor. We employ TTIP as the titanium precursor due to its stability and potential for enhanced film quality [3], while ozone serves as an alternative to the traditional water-based processes, which allows Ti to be used in deposition of complex oxides. This is especially important for complex oxides where a hygroscopic intermediate is present at any stage during the process.

The deposition involves alternating exposure to the substrate with sequential pulse-purge cycles of TTIP and O3, leading to the formation of TiO2 thin film in a self-limiting nature. The study systematically explores the influence of process parameters such as precursor exposure time, ozone dose and temperature on the film growth and properties. Characterization techniques, including XRD, spectroscopic ellipsometry, UV-VIS spectroscopy, FTIR, and XRF, are utilized to study the surface morphology, chemical and structural characterization, substrate-film interface studies and thickness uniformity.

The results reveal a systematic understanding of the ozone-based ALD process using TTIP, with optimized deposition parameters leading to uniform and crystalline TiO2 thin films. The films show promise in utilizing ozone based ALD with TTIP for deposition of higher order complex oxides containing titanium such as barium titanate, which is an excellent ferroelectric and photonic material.

References

[1] R. W. Johnson et al., Materials Today,17(5), 236-246(2014)

[2] S. M. George, Chemical Reviews, 110(1), 111-131 (2009)

[3] R. Vikrant et al., The Journal of Physical Chemistry C, 112(26), 9552-9554 (2008)

Keywords: Atomic layer deposition; thin film; Ozone-based ALD; Transition metal oxides; TTIP precursor

AF-MoP-27 Design and Installation of an Advanced Reactor for in-Situ Studies of ALD and ALE by the TU/E Technical Team
Caspar van Bommel, Marc Merkx, Janneke Zeebregts, Cristian van Helvoirt, Freek van Uittert, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology)

Advancement in atomic-scale processing requires in-depth understanding into the reaction mechanisms of deposition and etching reactions, driving the need for in-situ diagnostics during the processing. In this work, a reactor designed and installed by our group’s technical team for the study of surface reactions during ALD and ALE is described, with the focus on in-situ infrared spectroscopy at sub-monolayer sensitivity.

In-situ IR spectroscopy has been demonstrated to be a very powerful tool to study the mechanisms of ALD and ALE.[1,2] However, to achieve sub-monolayer sensitivity, substrate material dependent solutions are required, i.e. the use of pressed powder, attenuated total reflection (ATR) configurations for dielectrics, or grazing incident reflection adsorption IR spectroscopy (RAIRS) for metals. To accommodate a wide range of mechanistic studies we designed the reactor with the capability for in-situ transmission and reflection IR spectroscopy. A mount on the back flange of the reactor was designed such that the sample (and sample holder) can be installed vertically (for transmission) or horizontally (for reflection).

The system is a hot wall reactor that is equipped with a loadlock, has the capability to bias the substrate for ion energy control, has up to eight precursor/inhibitor bubbler capacity, and is pumped down using a turbo-molecular pump backed with a roughing pump. Inspired on particle beam study setups in the fields of surface science and plasma physics, the setup has the option to install up to four plasma, light, or particle sources at a 45 degree angle. This option allows for individual studies on the effects of radicals, ions, and photons during ALD. Alternatively, these connections can be employed for additional in-situ diagnostics, e.g., optical emission spectroscopy (OES), quadrupole mass spectroscopy (QMS). This contribution will outline the design and capabilities of this home-built reactor.

[1] Goldstein et al., J. Phys. Chem. C112, 19530 (2008)

[2] Mameli et al., ACS Appl. Mater. Interfaces10, 38588 (2018)

View Supplemental Document (pdf)
AF-MoP-28 Novel Hybrid Ligand Liquid Ta Precursor for High Temperature (> 480 ℃) ALD Ta2O5/TaN Process
Hyunju Jung, Kyuho Cho, SunYoung Baik, Woongjin Choi, ShinBeom Kim, Juhwan Jeong, Hana kim, Hanbin Lee, Jaemin Kim (EGTM R&D)

Ta2O5 has been developed for high-k oxide of DRAM or resistive layer of RRAM, In addition, TaN has been developed for gate metal or barrier metal of Cu interconnects in semiconductor devices.1, 5, 7 Several liquid metal organic Ta molecules have been used for precursors of ALD Ta2O5 and TaN process. However, carbon impurity in the film due to low ALD temperature window of the metal organic precursors are strong obstacles to deposit impurity free film.8 Thus, low deposition temperature is a challenge for liquid metal organic precursors to deposit high quality film.1, 2, 4, 8

Novel liquid Ta precursor with halide and metal organic ligand was developed for high temperature ALD Ta2O5 and TaN process. (Table 1). ALD window of new Ta precursor was estimated by ALD Ta2O5 process with O3 reactant. Growth rate per cycle (~ 0.45 Å/cy) of ALD Ta2O5 is constant up to 480 ℃ (Fig 2), which is highest ALD temperature window of liquid Ta precursors reported up to now.

These organic-halide Ta precursor also has self-limiting growth characteristics. Metal organic Ta precursors tend to decompose, leading to an gradual increase in growth per cycle (GPC) with feeding time. In contrast, Halide Ta precursors has self-etching characteristics, resulting in decreased GPC with feeding time.4, 9 The development of organic-halide ligand Ta precursors overcome challenges related to GPC saturation degradation, (Fig 1) allowing for deposition of conformal films in high aspect ratio 3D structure.

This novel liquid Ta Precursor allows its application in high-temperature ALD processes. These characteristics are crucial for deposition of high-quality thin film in semiconductor devices.2, 4, 5

References

1. H. C. M. Knoops, Materials Innovation Institute M2i, Journal of The Electrochemical Society, 155 12 G287-G294 2008
2. Virginie Brize´, STMicroelectronics, Chem. Vap. Deposition 2011,17, 284–295
3. Charles Musgrave, Stanford University, J. Phys. Chem. C 2011, 115, 11507–11513
4. M. Lemberger, Friedrich-Alexander-University, Surface & Coatings Technology 201 (2007) 9154–9158
5. Nicholas A. Lanzillo, IBM,Appl. Phys. Lett. 116, 164103 (2020)
6. Yicheng Li, Huazhong University, Nature Communications (2023) 14:4493
7. Changhwan Choi, Applied Surface Science 579 (2022) 152118
8. A. Correia Anacleto, Thin Solid Films 519 (2010) 367–372
9. Christoph Adelmann, imec, Chem. Vap. Deposition2012,18, 225–238

View Supplemental Document (pdf)
AF-MoP-31 Lab-Scale Fixed Bed Reactor for Atomic Layer Deposition on Particulate Materials: Initial Results
Jorge A. Velasco, Muhammad Ossama, Christine Gonsalves, Sauli Larkiala, Simon Andsten (Aalto University); Kalle Salonen (ELabs Oy Engineering); Jorma Rask, Jan Stang, Ville Miikkulainen, Seppo Jääskeläinen, Riikka L. Puurunen (Aalto University)

Atomic layer deposition (ALD) has developed into a valuable instrument for the preparation of porous high-surface-area heterogeneous catalysts. Once on the material, the ALD material can for example act as active sites, promoters or overcoating to postpone catalyst deactivation.

Reactor configurations such as fixed bed, fluidized bed, and rotating drum can be applied to make ALD on particulate materials. Also, reactors meant for thin film processing are used for similar purposes by placing the particulate material on a tray and letting gaseous reactants flow over and diffuse into the bed.

Operating conditions of ALD reactors for particulate materials differ significantly from mainstream thin film reactors. Larger reactant doses are needed to saturate the surface of particulate materials with surface areas of 100’s of m2 per gram (compared to ~0.1 m2 of a typical silicon wafer). Reactant amount scales directly with the surface area to be coated. In addition, with pore diameters in the order of few to tens nanometers, typical porous materials can have extremely high aspect ratios (HAR) in the order of ~105. Longer reactant exposures are needed to coat HAR materials to allow the ALD reactant to diffuse into the porous structure.

From the various types of reactor configurations for ALD on particulate materials, we have chosen a fixed bed reactor since it offers an appropriate contact of gas and solid, straightforward application of heating and flow, and flexibility regarding the size and shapes of the particulate material.

The design of our self-constructed ALD reactor setup allows the coating of a few grams of porous high-surface-area materials for fundamental laboratory-scale studies. Operation can be performed under vacuum or atmospheric pressure. Loading and unloading the particulate material inertly is possible. Pre-treatment of the particulate material can take place at temperatures up to 800 °C under controlled gas flow. ALD reactants (liquid or powders) can be loaded inertly in reactant source vessels which can be heated up to about 200 °C and delivered to the reactor using a carrier gas. Delivery of gaseous sources from gas cylinders is included. The setup is equipped with an afterburner and a condenser for treating the unreacted reactant. Gas analysis of the gas stream downstream or upstream the reactor is possible at atmospheric pressure via a mass spectrometer connected online.

In this work, we present initial results of using the self-constructed ALD reactor setup. The results include the response of the temperature control system during heating and cooling steps and the performance of the reactant delivery system.

AF-MoP-32 Low Energy Ion Scattering Analysis of ALD 2D Materials
Philipp Brüner, Thomas Grehl (IONTOF GmbH, Münster, Germany); Dongho Shin, Alejandra Ruiz de Clavijo, Sebastian Lehmann (Leibniz Institute for Solid State and Materials Research, Dresden, Germany)

2D materials, such as transition metal dichalcogenides or graphene, are a widely studied class of materials with promising applications in such fields as microelectronics, energy storage, bioengineering, or thermoelectricity [1][2]. While ALD with its self-limiting properties and sub-nm precision provides an ideal method for growing 2D materials, the physical and chemical characterization of the obtained films is challenging for surface analytical methods. Especially for ultra-thin films consisting of only few atomic layers or even a sub-monolayer only, most analytical techniques suffer from their limited surface sensitivity, often including, and thus averaging over, both the deposited film and the growth substrate.

In this study, we present analytical results of low energy ion scattering (LEIS) applied to a variety of ultra-thin 2D material samples, including Sb2Te3 and SnSe. In LEIS, the energy spectrum of noble gas ions scattered from the sample surface is recorded. The evaluation of elemental peaks in the resulting spectra allows the quantification of the elemental composition of the first atomic layer [3]. This extreme surface sensitivity of just a single atomic layer, combined with composition analysis, is unique to LEIS and makes it especially useful in the study of ultra-thin films. In addition, sub-surface scattering signal from deeper layers gives information about sample composition and layer thickness up to depths of 10 nm.

We show how combining the top atomic layer sensitivity with layer thickness information allows us to quantify the surface coverage of the deposited films, identify surface impurities with high sensitivity, and make a statement about the growth mode of the films. While ALD should in theory grow films in a perfectly controlled layer-by-layer manner, the deposited films often show various degrees of island growth, where parts of the substrate are quickly covered by a multilayer film, while other parts of the substrate have not yet begun to initiate film growth.

[1] J. Yang et al., Small 2023, 2306350. https://doi.org/10.1002/smll.202306350
[2] ACS Appl. Mater. Interfaces 2022, 14, 48, 54034–54043. https://doi.org/10.1021/acsami.2c16150
[3] “Low-Energy Ion Scattering” in Characterization of Materials - Second Edition ISBN 978-1-118-11074-4 - John Wiley & Sons.
AF-MoP-33 Plasma-Enhanced Atomic Layer Deposition Processes for Low-Temperature SiNX with Aminosilane Precursors
Hyeonjin Choi, Jinmyeong Kim, Youngju Ko, Heeyeop Chae (Sungkyunkwan University (SKKU))

In this work, a comparative study on aminosilane precursors for low-temperature plasma-enhanced atomic layer deposition (PEALD) of silicon nitride (SiNx) was investigated. Dimethyl(tert-butyl)aminosilane (DMTBAS) and bis(tert-butyl)aminosilane (BTBAS) were used as silicon precursors, and ammonia (NH3) was used as a nitrogen source in the PEALD processes. Process temperatures were varied from 50 to 350°C, and process conditions were optimized by precursor flow rate, ammonia flow rate, RF power, and plasma time. The effect of process variables was investigated with a spectroscopic ellipsometer(SE), X-ray photoelectron spectroscopy(XPS), and Fourier transform infrared spectroscopy(FT-IR). Growth per cycle of SiNx with DMTBAS was 1.2Å/cycle from 50 to 150℃, and BTBAS was 0.7Å/cycle from 150 to 350℃. The small molecular volume of the DMTBAS reduces the steric hindrance during initial adsorption, which enables higher growth per cycle. Additionally, the mono-ligand structure in DMTBAS results in relatively low carbon content in the SiNx films compared to those deposited with BTBAS.

AF-MoP-34 Characterization of Sticking Probability for Various ALD Chemistries Relevant for Artificial Solid Electrolyte Interphases
Léo Lapeyre, Krzysztof Mackosz, Wojciech Szmyt, Laszlo Pethö, Johann Michler (Empa, Swiss Federal Laboratories for Materials Science and Technology); Patrice Raynaud (LAPLACE); Ivo Utke (Empa, Swiss Federal Laboratories for Materials Science and Technology)

With the increasing demand for longer life time and safer batteries, atomic layer deposition (ALD) has become the method of choice for the synthesis of artificial solid electrolyte interfaces [1-3] (SEIs) due to its outstanding thickness control and conformality of deposited films, allowing the surface modification of porous cathode active material (CAM) from batteries electrodes in a unique way. In this context, the ALD process has to be optimized in order for precursors to diffuse in the high aspect ratio (AR) and porous 3D structures of CAMs, aiming for the full coverage of the active material. Namely, the diffusivity of precursors must be maximized to ensure a proper insulation of the CAM by the ALD thin films and prevent the CAM degradation induced by the electrochemical reaction with the electrolyte.

Szmyt et al. (2022) [4] developed a set of scaling laws describing the different ALD regimes (reaction- or diffusion-limited) and their corresponding surface coverage with respect to coating depth and sticking probability. Eq. (1) shows the expression of the coverage in the diffusion-limited regime, i.e. the dominating regime when depositing on high AR and porous substrates. From coverage profiles, this regime allows to determine the sticking probability of precursors, by measuring the coverage profile of the chemisorbed film and obtaining lambda_c. Consequently, the relation between described by Eq. (2) enables us to determine the sticking coefficient.

In our work, we apply this model to porous Si structures (series of cylindrical holes, see Fig. 1), allowing to determine the reaction front of the coating inside the pores. The penetration depth and, therefore, the sticking coefficient of given precursors can be determined, following Eq (2). By selecting specific ALD process parameters, such as the precursor pressure and the exposure time in the reactor, the penetration depth and coverage rate can be maximised. The influence of substrate temperature on the chemisorption probability is additionally investigated, in the objective of modifying the ALD regime and improve the conformality of deposited films. This optimisation step is then applied to various ALD processes involving different precursors and coating materials, including Li-based films.

View Supplemental Document (pdf)
AF-MoP-35 Deposition of High Quality Aluminium Fluoride Layers through Optimization of a PEALD Process using Al(CH3)3 and SF6
Eamon O'Connor, Fabian Steger, Christoph Sturzenegger, Daniel Schachtler, Heidi Thomé (RhySearch)

Metal fluorides are of interest for various applications given their properties of low refractive index, wide bandgap and high transparency. Physical vapour deposition techniques have most frequently been used to deposit Aluminium Fluoride (AlF3). In recent years there have also been a few reports on the growth of AlF3 via ALD. This is of increasing interest for optical applications, particularly where conformal coatings are required on component geometries of increasing complexity. In this work AlF3 films were deposited using a PEALD process with a trimethylaluminum (Al(CH3)3) precursor and a sulfur hexafluoride (SF6) plasma. The depositions were performed in an Oxford Instruments FlexAl ALD system. A number of the PEALD parameters were optimized to deposit high quality AlF3 films. Spectroscopic ellipsometry results indicate that the material has a low refractive index of 1.35 (@633nm). Atomic Force Microscopy demonstrates that the layers have low surface roughness comparable to the underlying substrate. The film density of 2.95 g/cm3 as measured through X-ray reflection compares favourably to densities previously reported for both thermal and plasma enhanced ALD of AlF3. Compositional analysis to examine the incorporation of impurities shows no evidence of residual sulfur in the layers from the SF6 plasma process. The optical performance of the films was also studied with regard to the PEALD process parameters, and relevant results will be presented including Laser Induced Deflection, Laser Induced Damage Threshold and Total Integrated Scattering.

AF-MoP-36 Characterization of Elemental Composition of ALD Films Using Ion Beam Analysis
Jaakko Julin, Mikko Laitinen, Sami Kinnunen, Timo Sajavaara (University of Jyväskylä)

The knowledge of elemental composition of atomic layer deposited (ALD) films should be of interest to anyone depositing or using ALD films in some application. Ideally the stoichiometry, impurity concentrations as well as the thickness, or areal density (atoms/cm2), of the deposited films should all be known with sufficient accuracy.

Most ALD films and interfaces contain impurities from partially reacted precursors (e.g. H, C, N, Cl, ...) or contamination from deposition tools (e.g. F) or from ambient exposure (O). Precise knowledge of the composition is often the key to understanding the electrical, optical, mechanical or other physical properties of the deposited films.

ALD films are well suited for elemental characterization with ion beam analysis (IBA) techniques using MeV ion beams. Classical IBA techniques, such as Rutherford Backscattering Spectrometry (RBS) and Elastic Recoil Detection Analysis (ERDA) require laterally homogenous films over the measured spot, typically several square millimeters. The main advantage of these methods is that they enable standard-free quantitative analysis of impurity concentrations and areal densities irrespective of the chemical composition or matrix. The depth of impurities can be determined with a depth resolution down to 1 – 2 nm at the surface. The chief disadvantage is that these methods require the use of a 1 – 3 megavolt electrostatic ion accelerator and the analysis is usually performed by a specialist in this field; typically not an ALD researcher.

This presentation aims to demonstrate the usefulness of IBA methods to the ALD/ALE community. Examples of IBA in general and RBS and ERDA in particular in ALD research will be shown. We have performed, for example, areal density measurements around 1014 atoms/cm2 (1 at./nm2) of Hf [1] and Eu [2] with relative uncertainties within few %, measured impurities in low-temperature ALD of SbOx [3], determined Fe/Zn ratios of intermetallic ALD films [4] and studied hydrogen incorporation by using heavy water as a precursor [5].

Jyväskylä actively works towards lowering the barriers of IBA, improving the commercial availability of IBA tools and analyses, reducing the footprint and complexity of the required accelerator system and developing analysis software and quality of analyses. The actions and benefits of this work are presented for the ALD community.

[1] S. Lepikko et al. Nat. Chem. (2023) DOI:10.1038/s41557-023-01346-3
[2] J. Rosa et al. Materials 14 (2021) 5966
[3] J. Yang et al. Adv. Electron. Mater. 8 (2022) 2101334
[4] R. Ghiyasi et al. Chem. Mater. 34 (2022) 5241
[5] S. Kinnunen et al. Surf. Coat. Tech. 441 (2022) 128456

AF-MoP-37 Atomic Layer Deposition of Ga2O3 from Gai3 and O3: Effect of Substrates on the Film Growth and Structure
Aivar Tarre, Lauri Aarik, Hugo Mändar, Jaan Aarik (Institute of Physics, University of Tartu)

Gallium oxide (Ga2O3) has a marked application potential in high-voltage power electronic devices, solar-blind radiation detectors, ferroelectric and resistive switching memory devices and functional coatings. Hence, development of novel methods for deposition of Ga2O3 thin films is of significant interest.

In this work, Ga2O3 thin films were grown by atomic layer deposition (ALD) from GaI3 and O3 as precursors [1]. The films were grown on single crystalline Si and α-Al2O3 substrates at temperatures (TG) ranging from 200–650 °C applying 500–1100 ALD cycles, respectively. The Ga, O and I content in the films as well as a relative growth rate were determined by X-ray fluorescence (XRF). Crystal structure of the films and their thickness, density and roughness were characterized by X-ray diffraction (XRD) and X-ray reflection (XRR) methods.

The films deposited at lower temperatures were X-ray amorphous on all substrates while those grown at TG≥350 °C on α-Al2O3 (001) and at TG≥450 °C on Si were crystalline consisting of ε- or κ-Ga2O3 phase. The α-Ga2O3 phase was obtained at higher temperatures on α-Al2O3 (012) but starting from as low as 275 °C when α-Cr2O3 seed layers were used on Si substrates.

[1] L. Aarik, H. Mändar, J. Kozlova, A. Tarre, and J. Aarik. Atomic Layer Deposition of Ga2O3 from GaI3 and O3: Growth of High-Density Phases. Cryst. Growth Des. 2023, 23, 5899−5911. https://doi.org/10.1021/acs.cgd.3c00502.

View Supplemental Document (pdf)
AF-MoP-39 On the Trail Ancient Worlds: Comparative Study of Commercial Scandium and Yttrium Precursors, Asgard, Midgard, Vanaheim and Olympus
Martin Wilken (Ruhr Universität Bochum); Thilo Hepp, Oliver Briel (Dockweiler Chemicals GmbH); Arbresha Muriqi (Tyndall National Institute, University College Cork); Chan-Mi Cho (Ruhr Universität Bochum); Michael Nolan (Tyndall National Institute, University College Cork); Anjana Devi (Leibniz Institute for Solid State and Materials Research)

Scandium and Yttrium based materials such as their oxides (M2O3 M=Sc;Y) have garnered significant attention in recent years due to their unique properties and versatile applications. The unique combination of high melting point, wide bandgap, high refractive index, and excellent dielectric properties makes these oxides attractive candidates for advanced electronic and optoelectronic devices, such as memory devices, and photodetectors.[1] In particular, the ability of these group 3 oxides to serve as a high-k dielectric material in thin film transistors (TFT's)[2], highlights the need for versatile low temperature atomic layer deposition (ALD) processes. There are very few Sc and Y precursors suitable for ALD, which include the homoleptic cyclopentadienyl based compounds ([MCp3])[3], substituted tris – R-cyclopentadienyl based compounds ([M(RCp)3](R=Me,Et,iPr))[4] and the beta-diketonates ([M(thd)3])[5]. These compounds are associated with certain drawbacks such as low vapor pressure, short shelf life, limited thermal stability and low reactivity.

The search for suitable precursors and former studies[6] on our highly promising structurally similar mixed ethylcyclopentadienyl, bdma and dbt (bdma=N,N‘-bisdimethylaminoacetamidinato; dbt=1,3-bis(1,1-dimethylethyl)-1-triazenato) complexes ASGARD ([(EtCp2Sc(dbt)]), MIDGARD ([(EtCp2Sc(bdma)]), VANAHEIM ([(EtCpSc(dbt)2]) and OLYMPUS ([(EtCp2Y(dbt)]) motivated us to perform a comprehensive comparative study on these precursors. Following up on a thorough investigation on the spectroscopical, structural and thermal properties, we adopted a computational approach to evaluate their use in ALD applications (Figure 1). Structure and ligand dissociation energies were calculated and gave a first indication for its suitability as ALD precursor. Furthermore, the interaction towards oxygen and water was investigated, showing their degree of reactivity. The comparison of the most promising candidates in proof-of-concept ALD experiments have given useful insights on their suitability as precursors.

View Supplemental Document (pdf)
AF-MoP-40 Design and Study of N-heterocyclic Carbenes as ALD Precursors
Mark Aloisio, Emmett Desroche, Francesco Tumino, Cathleen Crudden (Queen's University, Canada)

Several key characteristics must be considered when developing an atomic layer deposition (ALD) precursor. Among these considerations are the ability for the precursor to form a controlled and uniform monolayer. As well, afford benign byproducts of deposition to be considered for use at processing scales. N-heterocyclic carbenes (NHC) are an emerging molecular thin film due to the desired precursor characteristics. These ligand-based films show superior stability in chemical extremes and resistance to thermal treatments. The use of the ligands in organometallic chemistry lies with the tunability of the NHCs through synthetic preparations. Applying this molecular knowledge, a designer ligand can be developed for a specific ALD application. This study will explain the synthetic control of the NHCs and show film characterization via scanning tunneling microscopy to view film density on a crystalline metallic surface.

AF-MoP-41 ALD at 50: Collaborations, Topic Modeling, and Intersection with Atomic Layer Etching and Area Selective Deposition
Elsa Alvaro (Northwestern University); Angel Yanguas-Gil (Argonne National Laboratory)

Over the past 50 years atomic layer deposition has experienced tremendous growth in terms of publications, authors, and topics. In a prior work, we conducted a longitudinal study exploring the evolution of ALD as a field as seen through the lens of scientific literature.[1] Using bibliometric and network analysis, we were able to observe the evolution of the field from three independent collaboration networks to one giant collaboration network spanning all countries and topics, a reflection of the maturity and increasing integration of the ALD community as a research field.

In this work, we extend this study to the present day and explore the interaction of the ALD community with two adjacent fields: atomic layer etching and area selective deposition. Leveraging more powerful analysis tools, we apply machine learning methods to understanding the different themes cutting across the ALD literature. Finally, we apply a similar methodology to the fields of atomic layer etching and area selective deposition and explore the overlap with ALD.

[1] E. Alvaro and A. Yanguas-Gil (2018) Characterizing the field of Atomic Layer Deposition: Authors, topics, and collaborations. PLoS ONE 13(1): e0189137. https://doi.org/10.1371/journal.pone.0189137

AF-MoP-42 MoO2 DRAM Bottom Electrode by Thermal ALD Using a New Liquid Mo Precursor
Myeongho Kim, Yungyeong Yi, Injae Lee, Jinsik Kim, Hyunkyu Ryu, Wonyong Koh (UP Chemical Co., Ltd.); Chaeyeong Hwang, Woojin Jeon (Kyung Hee University, Republic of Korea)

Conducting MoO2 was proposed as an oxide electrode for DRAM. Crystal structure of monoclinic MoO2 matches well with rutile TiO2. ALD of high-k rutile TiO2 film was demonstrated on MoO2 film formed by pulsed laser deposition [1]. In this study, MoO2 films were formed by thermal ALD on TiN using a new liquid Mo precursor with O3, followed by post-deposition annealing (PDA). A metal-insulator-metal (MIM) capacitor structure was created using RuO2 top electrode, ALD TiO2 dielectric, and ALD MoO2 bottom electrode on TiN. The dielectric constant (k) was measured as high as 100, indicating formation of high-k rutile TiO2. XRD patterns show that monoclinic MoO2 phase and rutile TiO2 phase are prominent in annealed films compared to an as-deposited film (Figure 2). XPS was used to investigate relative amount of molybdenum oxidation state (+4, +5 and +6).

[1] W. Lee et al. J. Mater. Chem. C, 2018,6, 13250-13256

View Supplemental Document (pdf)
AF-MoP-43 The Role of Substrate Temperature on the Self-limiting Growth of Highly (200) Oriented Cubic NiO Films via Hollow-cathode Plasma-assisted Atomic Layer Deposition
Fatih Bayansal, Steven Allaby, Heba Saleh, Brian Willis, Necmi BIYIKLI (University of Connecticut)

In contrast to the relative abundance of as-grown unintentionally doped n-type semiconductor materials, there are only a few alternative alloys showing p-type conduction without needing extra high-temperature doping processes. Among them, CuO, Bi2O3, SnO, and NiO represent the most widely studied metal oxides which exhibit native p-type properties. NiO is of particular interest mainly due to its relative stability and promising performance as hole-transport layers in emerging solar cell device structures. However, the transport properties of NiO still needs to be substantially improved for its use as reliable transistor channel layers. While low-temperature thermal, plasma, and ozone-assisted ALD efforts have resulted in p-type NiO films, the hole mobility is far from being sufficient, typically lower than 1 cm2/Vs.

In an attempt to enhance the hole transport properties in low-temperature as-grown NiO layers, in this study we have carried out a systematic study on plasma-enhanced ALD (PEALD) of NiO films on Si, SiO2/Si, and sapphire substrates using nickelocene (NiCp2) and O2 plasmas in a 4” plasma-ALD reactor with a stainless steel-based hollow-cathode plasma (HCP) source, equipped with an in-situ ellipsometer. Detailed saturation studies using in-situ ellipsometer monitoring were carried out, scanning for NiCp2 pulse time, O2 plasma exposure time, purge time, and plasma power. Optimal growth conditions were identified as 90 ms NiCp2 pulse / 10 s purge / 20 s O2-plasma at 100 W plasma exposure / 10 s purge. To check the growth linearity and obtain thicker films for materials characterization, 800 cycle long runs were conducted to evaluate the substrate temperature impact (100 - 250 °C) on growth-per-cycle (GPC) and film properties.

The resulting NiO films are characterized for their structural, chemical, optical, and electrical properties. Films grown at 200 °C exhibit higher refractive index values reaching 2.3, which is in good agreement with reported values for the best polycrystalline NiO films in the literature. NiO films deposited on both Si and SiO2/Si substrates exhibit polycrystalline single-phase cubic structure (c-NiO). Furthermore, NiO films deposited on sapphire substrates showed weak grazing-incidence XRD signatures along with very strong and relatively sharp (200) peaks in the θ – 2θ scans. An interesting observation is that the lowest substrate temperature sample (100 °C) displayed the strongest (200) peak. Hall measurements of the as-grown NiO layers on glass substrates, revealed film resistivity values about 40 ohm-cm.

View Supplemental Document (pdf)
AF-MoP-44 2D and 3D Growth Competition in ALD Super-cycles Process: FexNiyOz and FexCoyOz
Estelle Jozwiak (Humboldt University Berlin, Germany)

Atomic layer deposition (ALD) is the technique of choice to produce ultra-thin films with a high reproducibility, thickness reliability, conformality and surface coverage. The super-cycle ALD enables the deposition of ternary compounds while maintaining the advantages of a traditional ALD. However, combining two metallic precursors with different growth mode in a super-cycle process can result in unexpected atomic ratios and morphologies. Indeed, when combining Fe(Cp)2 (2D growth) with Ni(Cp)2 or Co(Cp)2 (3D growth), a minimum growth per cycle (GPC) is observed, which also correlates with the formation of a mixed morphology.

AF-MoP-45 Surface Morphology Analysis for WOX Thin Film after Post-Sulfurization
Chan-Yuen Chang, Bo-Heng Liu, Hung-Pin Chen, Kun-An Chiu, Chien-Ying Su, Yi-Hao Lin, Yang-Yu Jhang, Yueh-Ju Pu (Taiwan Instrument Research Institute, NARlabs)

TMDs are layered materials that can exhibit semiconducting, metallic and even superconducting behavior. In the bulk formula, the semiconducting phases have an indirect band gap. Recently, these layered systems have attracted a great deal of attention mainly due to their complementary electronic properties when compared to other 2D materials. However, these bulk properties could be significantly modified when the system becomes monolayer: the indirect band gap becomes direct. Such changes in the band structure when reducing the thickness have important implications for the development of novel applications, such as high photoluminescence (PL) quantum yield.

Previous studies have demonstrated direct sulfurization of the metal precursor as an effective route to produce large-area TMDs. In this paper, we have produced WS2 by depositing WOx thin films directly onto sapphire followed by sulfurization process. However, ALD technique is well known for its thickness controllability, reproducibility, wafer-level thickness uniformity and high conformality. Here, we grow WOx films by ALD method, and the synthesized WS2 layer retains the inherent benefits of the ALD process. The overall experiments and measurement were carried out on our homemade 6” cluster systems, which include ALD, RTP, and XPS modules. The sample transfer inside are under 5x10-6 torr to avoid air pollution. WOx films were deposited on sapphire at ALD moduleat ~230℃. After that, sulfurization process was progressed at RTP module, which connected the sulfurization equipment. This equipment heats TAA powder to ~130℃ and makes it produce H­2S gas. BeforeRaman data indicated the WS2 vibration signal appearing at 354 and 418 cm-1 when post-sulfurization temperature achieved to 800℃. In this work, the AFM data show WS2 flakes starting to appear at 900℃, and its size are small than 50nm2 generally. In-plane grazing incidence diffraction (IPGID) measurements also discover crystallinein the (110) and (100) direction becoming stronger when process temperature is larger than 900℃. Finally, photoluminescence (PL) spectra reveal its stacking orientation conditions and bandgap.

AF-MoP-46 Low-Temperature Atomic Layer Deposition Process of SnSe2 Thin Films
Alejandra Ruiz-Clavijo (Institute for Metallic Materials, Leibniz Institute for Solid State and Materials Research)

SnSe2 films are deposited via atomic layer deposition (ALD) using SnCl4 and Se(SnMe2)3 as Sn Se reactants, respectively. The deposition temperature window is from 80 to 100 °C, observing almost no growth above 110 °C due to Se desorption from the films. The growth per cycle (GPC) is 0.11 nm/cc at 80 °C. The as deposit films are amorphous, and present a smooth surface and compact appearance. Post-annealing of the films at 250 °C for 30 min improves the crystallinity of the films, obtaining polycrystalline films with preferred crystal orientation along the 00l direction. The optical and electronic properties of the films were characterized employing a UV-VIS spectrophotometer, and high temperature hall system.

View Supplemental Document (pdf)
AF-MoP-47 Conformality Characterization of Al-Doped ZnO Films Grown by Atomic Layer Deposition on Lateral High-Aspect-Ratio Test Structures
Eero Haimi, Anish Philip, Jorge Velasco, Maarit Karppinen, Riikka Puurunen (Aalto University)

Zinc oxide (ZnO) is a wide band-gap semiconductor with variety of applications as thin film material. With formation of intrinsic donors, or extrinsic doping, electrical and optical material properties of ZnO can be tuned. Accordingly, aluminum doped ZnO (AZO) is a prominent transparent conductive oxide candidate. There are growing nano-application areas, where film deposition on high-aspect-ratio structures is of interest. In this work, set of AZO, ZnO and Al2O3 thin films were applied on PillarHallTM lateral high-aspect-ratio (LHAR) test structures using atomic layer deposition (ALD). Well established diethylzinc (DEZ) and water as well as trimethyl aluminum (TMA) and water processes were used for ZnO and Al2O3 depositions, respectively. AZO films were deposited by alternating DEZ/H2O and TMA/H2O exposures, resulting in nanolaminate structure with nominally 10 nm ZnO layers alternating with nominally 2 nm Al2O3 layers. All depositions were carried out at 125°C temperature applying long pulse/purge sequences. Conformality of the deposited films were characterized using energy dispersive electron probe X-ray microanalysis (ED-EPMA). In evaluation of targeted film thickness and structure, both X-ray reflectometry (XRR) and grazing incidence X-ray diffraction (GIXRD) were used for reference films deposited on silicon. Film penetration depth into the LHAR cavity and saturation profile shape along the cavity were analyzed. The results showed differences between saturation profiles of ZnO and Al2O3 as expected. In the case of AZO films, the higher proportion of ZnO deposition dominated the overall saturation profile shape. However, Al/Zn composition ratio of AZO films seemed to change along the LHAR cavity. This implies possible variation in material properties of AZO films along the cavity. Based on the results, high-aspect-ratio performance of the processes is discussed.

AF-MoP-48 Advancing Plasma Processes: Insights from Retarding Field Energy Analyzers in Ald/Ale Applications
Angus McCarter, Thomas Gilmore, Anshu Verma (Impedans Ltd.)

Atomic layer deposition and etching (ALD/ALE) are important processes for creating nano scale patterns as they can deposit or remove layers with atomic-scale precision, excellent uniformity, and atomic level surface roughness. However, as structures become more spatially demanding and device dimensions shrink, the necessity for controllable and optimized plasma processes intensifies. To meet these challenges, careful selection of process parameters such as RF source power, bias power, pulsing frequency, and duty cycles is essential. Additionally, maintaining a damage-free surface is paramount due to ion-induced damage in plasma-assisted processes. Thus, spatial and time-resolved measurements of ion energy distribution functions and ion flux become imperative, providing valuable insights into the dynamics of energetic ions on wafers to control the process and facilitating the preservation of undamaged surfaces.

Impedans offers a comprehensive range of retarding field energy analyzers (RFEAs) designed to address the need for wafer-level measurements within plasma environments [1, 2]. Positioned at the substrate, these RFEAs offer insights into surface interactions within the plasma. The SemionRFEAmeasures the uniformity of positive and negative ion energies and flux hitting a surface, at multiple locations inside a plasma chamber using an array of integrated sensors. The Quantum is an advanced RFEA, that combines energy retarding grids with an integrated quartz crystal microbalance (QCM) allowing measurements of the ion energy and flux as well as the ion-neutral ratio and deposition rate. The ion-neutral ratio serves as a critical parameter for optimizing film properties. This talk will highlight the applications of these RFEAs in various ALD/ALE processes ranging from laboratory grade experiments to industrial tools [3-7]. Topics will include measurement challenges, innovative solutions, and successful case studies, shedding light on the transformative potential of RFEA technology in advancing plasma-assisted processes.

References

[1] Impedans Ltd, Dublin, Ireland [www.impedans.com]

[2] S. Sharma et al., Ph.D. Thesis, Dublin City University (2016)

[3] J. Buiter, Master’s Thesis, Eindhoven University of Technology (2018)

[4] H. C. M. Knoops et al., J. Vac. Sci. Technol. A 39, 062403 (2021)

[5] K. Arts et al., Appl. Phys. Lett. 117, 031602 (2020)

[6] S. Dallorto, Ph.D. Thesis, Ilmenau University of Technology (2019)

[7] H. B. Profijt et al., ECS Trans.33 61 (2010)

AF-MoP-49 Precision Interface Engineering of CuNi Alloys by Powder ALD Toward Better Thermoelectric Performance
Amin Bahrami, Shiyang He (Leibniz-Institut für Festkörper- und Werkstoffforschung Dresden); Chanwon Jung (Max-Planck-Institut für Eisenforschung GmbH); Ran He (Leibniz-Institut für Festkörper- und Werkstoffforschung Dresden); Zhifeng Ren (University of Houston); Siyuan Zhang (Max-Planck-Institut für Eisenforschung GmbH); Kornelius Nielsch (Leibniz-Institut für Festkörper- und Werkstoffforschung Dresden)

The main bottleneck in obtaining high-performance thermoelectric (TE) materials has been identified as how to decouple the strong interrelationship between electrical and thermal parameters. Herein, we present a precise interface modification approach based on the powder atomic layer deposition (ALD) technology to enhance the performance of CuNi alloys. ZnO and Al2O3 layers as well as their combinations have been deposited on the surface of powders, typically in 10–100 ALD cycles, and their effect on the TE performance of bulks has been thoroughly investigated. The enhancement of the Seebeck coefficient, caused by the energy filtering effect, compensates for the electrical conductivity deterioration due to the low electrical conductivity of oxide layers. Furthermore, the oxide layers may significantly increase the phonon scattering. Therefore, to reduce the resistivity of coating layers, a multilayer structure is deposited on the surface of powders by inserting Al2O3 into ZnO. The accurate microstructure characterization shows that the Al atoms diffused into ZnO and realized the doping effect after pressing. Al diffusion has the potential to increase the electrical conductivity and complexity of coating layers. Compared to pure CuNi, zT increased by 128% due to the decrease in resistivity and stronger phonon scattering in phase boundaries.

AF-MoP-50 Advances in Rare Earth Precursors for ALD
Dominik Naglav-Hansen (Ruhr Universität Bochum)

Rare-earth (RE) containing materials are of significant importance for many fields of modern technology and environmental applications and have grown dramatically in diversity and importance over the past five decades.[1,2]

The interest in RE containing materials can be attributed to their broad number of intrinsic functional properties. Especially RE oxides, nitrides and sulphides are currently of high interest for applications such as high-κ dielectrics (Y2O3, CeO2), spintronics (EuS, GdN) and photovoltaics (Nd2S3).[3-13] Reliable and scalable synthesis routes which yield high quality materials are required. Atomic layer deposition (ALD) is one such method that can meet the demands of modern device processing technologies. However, to develop ALD processes for RE-containing materials, suitable precursors are of utmost importance. There are very few precursors available for RE elements to choose from. Besides sufficient volatility and thermal stability at the operating conditions, the precursors need to exhibit a high reactivity towards the employed co-reactant.

A series of RE metal containing precursors with the formula [M(L)3] (M = Sc, Y, Eu, Gd, Dy, Er); L = malonates, guanidinates) were synthesized. The tunability of the structural and thermal properties via variation of the ligand side chains was demonstrated for these compounds with systematic thermogravimetric analysis studies (TGA). Proof-of-concept metal insulator semiconductor (MIS) capacitor structures with Gd2O3 resulted in promising electrical characteristics.

[1] S. Cotton, Lanthanide and Actinide Chemistry, Wiley & Sons Ltd., West Sus., UK, 2006 [2] V. Balaram Geos. Front.,2019, 10, 1285-1303 [3] A. Devi et al Dalton Trans., 2022, 51, 5603-5611 [4] A. Devi et al Dalton Trans., 2021, 50, 12944-12956 [5] A. Devi et al Chem. Eur. J., 2021, 27, 4913-4926 [6] A. Devi et al RSC Advances, 2021, 11, 2565-2574. [7] A. Devi, M. Lastusaari et al J. Lumin., 2019, 215, 310-315 [8] A. Devi et al Dalton Trans., 2019, 48, 2926-2938 [9] A. Devi, R. B. M. Cross et al Advances in Materials2018, 7(4), 137-143 [10] A. Devi, M. Karppinen et al J. Mater. Sci. 2017, 11, 6216-6224 [11] A. Devi et al Chem. Vap. Dep. 2015, 10-11-12, 335 [12] A. Devi et al J. Nanosci. Nanotechnol. 2014, 14(7), 5095 [13] A. Devi, S. T. Barry et al Aust. J. Chem 2014, 67(7), 989.

AF-MoP-51 New Non-pyrophoric Metalorganic Precursor for ALD of Ga2O3
Marcel Schmickler, Florian Preischel, Anjana Devi (Ruhr University Bochum)

The number of publications on Gallium oxide (Ga2O3) as a thin film material has risen considerably over the past five years. This can be attributed to the promising properties of this material system for various applications that include amongst others being a transparent conductive oxide (TCO) material with a wide bandgap of 4.5 – 4.9 eV.[1] Paired with the high theoretical breakdown field strength of 7-8 MV/cm, the β-Ga2O3 phase is suitable for high-power applications.[2] Additionally, Ga2O3 thin films selectively doped with specific rare-earths were demonstrated to make red, green, and blue LEDs.[3]

The thin film deposition of group III element oxides via ALD is frequently done with the respective alkyls, which in the case of gallium is trimethylgallium (TMG). Although volatile, this precursor is highly pyrophoric and requires special precautions while handling. Additionally, it lacks the necessary reactivity towards water for thermal ALD.[4]

Encouraged by the results of our previous studies regarding the development of non-pyrophoric aluminum precursors[5,6] we extended the underlying concept of introducing intramolecularly stabilizing ligands to gallium with the scope of developing non-pyrophoric Ga precursors, yet being highly volatile and reactive. The new Ga compound is a low-melting solid with the thermal properties being very promising as depicted in the vaporization behavior illustrated in Figure 1. In this presentation, the focus is on tuning the physico-chemical properties of the Ga precursor and the subsequent ALD process development of high-quality Ga2O3 thin-films using this non-pyrophoric precursor.

[1]J. Wang, X. Ji, Z. Yan, S. Qi, X. liu, A. Zhong, P. Li, Journal of Alloys and Compounds, 2024, 970, 172448.

[2]S. Wu, N. Liu, H. Li, J. Zhang, S. Shen, W. Wang, N. Xia, Y. Cao, Z. Zhong, W. Zhang et al., Physica Rapid Research Ltrs., 2024, 18, 2300110.

[3]Q. Guo, K. Saito, T. Tanaka, ACS Appl. Electron. Mater., 2023, 5, 4002.

[4]D. J. Comstock, J. W. Elam,Chem. Mater., 2012, 24, 4011.

[5]L. Mai, M. Gebhard, T. de Los Arcos, I. Giner, F. Mitschker, M. Winter, H. Parala, P. Awakowicz, G. Grundmeier, A. Devi,Chem. Eur. J., 2017, 23, 10768.

[6]L. Mai, N. Boysen, D. Zanders, T. de Los Arcos, F. Mitschker, B. Mallick, G. Grundmeier, P. Awakowicz, A. Devi, Chem. Eur. J., 2019, 25, 7489.

View Supplemental Document (pdf)
AF-MoP-52 Novel Molybdenum Precursor for Chemical Vapor Deposition and Atomic Layer Deposition
Yuma Dote, Akifumi Yao, Akiou Kikuchi (Central Glass Co., Ltd.)

The existing 3D-NAND memory uses materials such as Cu and W for word lines. However, as the memory width increases in the future, it becomes imperative to reduce the metal liner width, among other factors. Mo, with lower resistance than W in ultra-scaled dimensions [1], exceptional processability, and being a barrierless material, emerges as a suitable candidate for next-generation interconnect materials.

Common Mo precursors for CVD and ALD include MoF6, MoO2Cl2, and MoCl5. Unfortunately, MoF6 and MoCl5 exhibit high reactivity, leading to substrate etching and loss during deposition. MoO2Cl2 is also prone to oxygen contamination [2]. In this study, we address these issues by introducing a new Mo precursor, F4M.

Herein, Mo films were deposited on TiN and SiO2 substrates using F4M, MoF6, and MoO2Cl2. Various analysis methods, including SEM, XRD, and XPS, were employed to comparatively evaluate the Mo film quality. Results indicate that F4M does not show any the substrate loss due to etching during deposition (see supplemental document ; Fig. 1,2). Additionally, F4M also deposited Mo films on TiN and SiO2 without a seed layer with a shorter incubation time than MoO2Cl2. The low substrate loss and short incubation time can be attributed to the low energy required for nucleation (see supplemental document ; Fig. 3). Furthermore, the electrical properties of the deposited Mo films were evaluated using four-terminal sensing. The electrical resistivity of the Mo film deposited by F4M was 6.9 μΩcm (electrical resistivity of bulk Mo: 5.2 μΩcm), lower than Mo films deposited with other precursors (see supplemental document ; Table 1) [3]. The Mo film formed using F4M exhibited low electrical resistivity due to fewer impurities and larger Mo grain growth. Therefore, we believe that F4M is the most promising precursor for forming high-quality Mo films and is suitable for both CVD and ALD.

[1] Daniel Gall, J. Appl. Phys., 2020, 127, 050901.

[2] Baek-Ju Lee et al., Coatings, 2023, 13 1070.

[3] Kees van der Zouw et al., J. Vac. Sci. Technol.2023, A41, 052402.

View Supplemental Document (pdf)
AF-MoP-53 Role of Indium and Tin Elements in Zinc-Based Ternary Oxide Thin Films Grown by Atomic Layer Deposition
Dong-Hyun Lim, Il-Kwon Oh, Ae-Rim Choi (Ajou University)

Amorphous oxide semiconductors (AOSs) are highlighted for their potential as channel materials, attributed to high mobility and low off current [1], leading to the exploration of diverse transition metals and the proposal of various AOS materials. [2] Among these, the In-Zn-O (IZO) system, notably In-Ga-Zn-O, and the Zn-Sn-O (ZTO) system have shown superior electrical performance due to high mobility from overlapping In3+ and Sn4+ 5s orbitals. [3] However, intrinsic differences such as ionic radius, electronegativity, and metal-oxygen bond strength between In3+ and Sn4+ result in distinct properties for IZO and ZTO materials. [4] Given these differences, further research is crucial to deepen our understanding of the roles of In and Sn in AOS materials.

In this study, we investigate the effects of incorporating In and Sn into ZnO thin films via atomic layer deposition (ALD), analyzing the film properties. To examine the electrical properties in detail, bottom-gate thin film transistors (TFTs) with varying compositions of IZO and ZTO channels were fabricated to compare performance. We observe that while single ZnO films exhibit wurtzite crystallinity, incorporating In or Sn shifts the films to an amorphous state. This incorporation also leads to a negative shift in the threshold voltage (Vth) for TFT devices, indicating increased film conductivity with higher In or Sn content. We compare the electrical characteristics of TFT devices based on both IZO and ZTO channels, with intermediate ratios of Zn to In and Sn, respectively. As-deposited IZO TFT is too conductive, not displaying on/off characteristic; however, after annealing in air for 1 hour, it shows improved performance with a Vth of -7.4 V, field effect mobility (µFE) of 59 cm2/V s, and an Ion of 430 µA. Conversely, ZTO TFT displays on/off characteristic even before annealing with a Vth of -7.4 V, µFE of 14.8 cm2/V s, and an Ion of 110 µA. This suggests that incorporating In into ZnO films makes them more conductive compared to Sn.

References [1] T. Kim. et al., Adv. Mater., 35, 2204663 (2023). [2] S. Lee., Trans. Electr. Electron. Mater., 21, 235-248 (2020) [3] B. Lu et al., Curr. Opin. Solid State Mater. Sci., 27, 101092 (2023) [4] S. Parthiban et al., J. Mater. Res., 29, 1585–1596 (2014)

AF-MoP-54 Ultra-Low Electron Temperature Plasma for Damage-Free Atomic Layer Deposition of Titanium Nitride Films: Advancements in PEALD Process Applications
MINSEOK KIM, Sung hoon Kim, Chang min Lim, Dong min Kim, Chin wook Chung (Hanyang University, Korea)
The characteristics of Titanium Nitride (TiN) thin films have been improved by utilizing an ultra-low electron temperature (ULET, Te< 1 eV) plasma in the plasma-enhanced atomic layer deposition (PEALD) process. The ULET plasma is produced by an inductively coupled plasma system with a DC grid system. Ultra-low electron temperature plasma can significantly reduce damage induced by the plasma. Due to its low ion energy, there is less damage from ion bombardment caused by self-bias voltage, and the absence of UV generation reduces damage from radiation. In the ULET-PEALD process, the resistivity of TiN films is reduced to 325 μΩcm, compared to 430 μΩcm in films deposited by the conventional PEALD method. Additionally, this method has reduced the surface roughness of TiN films to 0.3 nm from 0.78 nm, as measured by Atomic Force Microscopy (AFM). The deposition of TiN films at the atomic level is verified through Auger Electron Spectroscopy (AES) and X-ray diffraction (XRD) analyses. The film thickness, measured by Scanning Electron Microscopy (SEM), indicated a growth rate of about 1.5 Å per cycle. These enhanced characteristics of the TiN films can be explained through the physical mechanisms of ULET plasma
AF-MoP-56 Ultra Thin Diffusion Barrier Development by Utilizing Advanced Deposition Materials in ALD
Changbong Yeon, Deok-Hyun Cho, Jaesun Jung, Kok Chew Tan, Jung Hun Lim, Young-Soo Park (Soulbrain)

As integrated circuits (IC) scaling continues to progress, both the transistor size and the gap between devices decrease, leading to various issues such as increased wiring resistance, quantum tunneling, and heat generation. Specifically, in terms of interconnect metallization, this reduction in dimensions poses significant challenges including the resistivity size effect, electro migration, and insufficient conductor space [1]. Consequently, the performance of the device becomes highly dependent on the electrical resistance rather than the processing speed of the transistor. The resistance-capacitance (RC) delay of the circuit, which determines the quality of IC performance, is primarily influenced by the electrical resistivity (𝜌) of the material [1]. In order to solve the RC delay issues, the adoption of new conducting materials such as Ru and Mo is attracting attention. However, it remains challenging due to the requirements for developing suitable processes and new precursors.

Another strategy to address these RC challenges is to reduce the thickness of the diffusion barrier and increase the volume of the conducting material [1]. Typically, TiN thin film is used as a barrier material for metal films. However, films with a thickness below 25 Å exhibit poor continuity and fail as diffusion barriers due to facile crystallization of TiN in a columnar structure, resulting in high surface roughness.

In this study, our objective was to increase the volume of the conducting material by reducing the critical thickness of the diffusion barrier while maintaining excellent diffusion barrier properties. To achieve this, we introduced a new deposition material known as advanced deposition material to control surface chemical reactions such as adsorption and reaction with the reactant gas [2]. Consequently, the deposition process and film properties were significantly improved. For instance, the film density increased from 4.3 g/cm3 to 5.2 g/cm3, eliminating nucleation delay in the ALD process. Additionally, the surface roughness was reduced from 0.33 nm to 0.15 nm. Even at an extremely thin thickness of approximately 1 nm, we observed a conformal thin film and conducted a pinhole formation test using HF dipping to confirm the diffusion barrier properties. Based on the experimental evidence, we believe that this research will contribute to the development of new strategies for next-generation interconnect process.

References

1. J. H. Moon, E. Jeong, S. Kim, T. Kim, E. Oh, K. Lee, H. Han, and Y. K. Kim, Adv. Sci. 10, 23, 2207321 (2023)

2. C. Yeon, J. Jung, H. Byun, K. C. Tan, T. Song, J. H. Kim, S. J. Lee, and Y. –S. Park, AIP Adv. 11, 015218 (2021)

View Supplemental Document (pdf)
AF-MoP-57 A Novel Liquid Ruthenium Precursor and Its Successful Implementation in ALD
Niklas Huster, Ilamparithy Selvakumar, Florian Preischel, Jorit Obenlüneschloß (Ruhr University Bochum); Michael Gock, Michael Unkrig-Bau, Florian Eweiner (Heraeus Precious Metals GmbH & Co. KG); Detlef Rogalla (RUBION - Ruhr University Bochum); Anjana Devi (Ruhr University Bochum)

With the semiconductor industry reaching the 2 nm node and below, Copper (Cu) as a well-established interconnect material in integrated circuits (IC’s) in the back-end-of-line (BEOL) process chain is reaching its limitations due to diffusion and electromigration under operating conditions.[1] Next to Cobalt (Co), Ruthenium (Ru) is the most promising candidate to replace Cu in next generation microchips, due to higher chemical stability and shorter electron mean free path.[2] To deposit thin films homogeneously over complexly structured substrates, as in next generation 2 nm and below gate-all-around field effect transistors (GAAFETs), atomic layer deposition (ALD) is the method of choice. However, finding suitable ALD Ru-precursors matching the requirements of high vapor pressure alongside thermal stability and high reactivity is a challenging task for researchers from academia and industry likewise.

In this presentation, we report on the synthesis of a novel liquid Ru precursor and its successful utilization in a Ru ALD process. The promising thermal properties as depicted in Figure 1, prompted us to employ it for Ru metal film deposition in combination with oxygen as co-reactant. This resulted in the growth of Ru films on Si and Cu substrates in the temperature range 250 – 300 °C with a GPC of 0.8 Å. The as-deposited films were analyzed by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM), atomic force microscopy (AFM), X-ray diffraction (XRD) and X-ray reflectivity (XRR). The layer composition was determined by means of X-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS) and nuclear reaction analysis (NRA) which revealed the high purity of the layers. The new findings on this newly developed precursor and associated ALD process can be rated as a significant step forward to meet the demands of the semiconductor industry for the 2 nm and beyond node.

[1]C. Adelmann, IEEE Int. Interconnect Technol. Conf. Proc, IEEE, San Jose, CA, USA 2016, p. 38

[2]M. Popovici, B. Groven, K. Marcoen, Q. M. Phung, S. Dutta, J. Swerts, J. Meersschaut, J. A. van den Berg, A. Franquet, A. Moussa, K. Vanstreels, P. Lagrain, H. Bender, M. Jurczak, S. van Elshocht, A. Delabie, C. Adelmann, Chem. Mater., 2017, 29, 4654

View Supplemental Document (pdf)
AF-MoP-58 Tailoring the Properties of Oxide Films by Doping Using Atomic Layer Deposition Method
Mahtab Salari Mehr, Lauri Aarik, Taivo Jõgiaas, Hugo Mändar (University of Tartu)

The complex ternary compound materials have received considerable attention in the last decades due to the exhibition of superior mechanical, optical, and chemical properties compared to their binary counterparts [1,2]. The programmable cycle formula of ALD enables control of the concentration of dopant elements in the composition of the thin films. Aluminum oxide and chromium (III) oxide are well-known ceramic materials showing interesting optical, and mechanical properties (hardness, elastic modulus, wear resistance).It has been reported previously that combining Cr2O3 and Al2O3 enables to tailor the properties of these oxide films for various applications [3]. In the current research study, ternary compound Cr-Al-O thin films (thickness from 50 to 80 nm) were deposited on Si (100) substrates using the ALD deposition formula of n × (Cr(thd)3-O3) + m ×(TMA-O3)at a deposition temperature of 275 °C. The enhancement in nanoindentation hardness values of (Cr, Al)2O3 films was observed compared with those of binary films. Furthermore, wear resistance measurements displayed less plastic deformation of the ternary (Cr, Al)2O3 films under applied wear load compared with binary Cr2O3 and Al2O3 films that exhibited ploughing-induced plastic deformation during wear. A decrease in the wear rate was observed for the ternary film with a higher hardness of 18.4 GPa and lower plasticity index value of 0.260 compared with binary oxide films (Fig. 1). Our findings showed that the deposition of multicomponent films paves the way for tailoring thin film materials with enhanced mechanical properties and wear durability for various industrial applications.

References:

[1] Salari Mehr, M.; Aarik, L.; Jõgiaas, T.; Tarre, A.; Kasikov, A.; Roosalu, K.; Mändar, H., J. Alloys Compd.2023, 968, 172041. https://doi.org/10.1016/j.jallcom.2023.172041.

[2] Bahrami, A.; Delgado, A.; Onofre, C.; Muhl, S.; Rodil, S. E., Surf. Coat. Technol.2019, 374, 690–699. https://doi.org/10.1016/j.surfcoat.2019.06.061.

[3] Koller, C. M.; Dalbauer, V.; Schmelz, A.; Raab, R.; Polcik, P.; Ramm, J.; Mayrhofer, P. H., Surf. Coat. Technol.2018, 342, 37–47. https://doi.org/10.1016/j.surfcoat.2018.02.077

View Supplemental Document (pdf)
AF-MoP-59 Low-Temperature Atomic Layer Deposition of Vanadium Oxide: Unveiling the Nucleation and Growth Mechanism, Characterization, and Its Application in Perovskite Solar Cells
NIRANJAN SINGH BAGHEL, Shaibal K Sarkar (Indian Institute of Technology Bombay)

Vanadium oxide (VO­x) thin films are pivotal in numerous applications ranging from smart windows to sensors, energy storage devices and solar photovoltaics. VO­x atomic layer deposition (ALD) is accomplished using sequential exposures of Vanadium (V) tri-i-propoxy oxide (VTIP) and H2O for employed as a metal and oxygen sources, respectively, at low temperatures in a custom-built viscous flow reactor remains challenging, limiting their integration onto sensitive substrates. This study introduces the atomic layer deposition (ALD) technique for the growth of VO­x thin films at low temperatures, emphasising the enhancement of film uniformity, stoichiometry, and functional properties through precise process control where we explain the nucleation and growth mechanism of ALD VO­x in particular, hybrid halide perovskite surface aiming to utilize the process for photovoltaic devices in n-i-p configuration. We employ in-situ QCM to understand the deposition mechanism. Through this presentation, we reveal that not the thermodynamics but the kinetic of the deposition plays a pivotal role for the optimal photovoltaic performance employing ALD grown VOx as the hole transport material.

AF-MoP-60 Fermi Level Tuning of ZnO Films through Mn Doping via Atomic Layer Deposition Supercycles
Carolina Bohórquez Martínez (Center of Nanoscience and Nanotechnology-UNAM); Manuel Domínguez de la Vega (Institute for Research into Electronic Microscopy and Materials-UCA)

The control of the electrical and mechanical properties of ZnO films is paramount for their diverse applications in electronic and optoelectronic devices. Doping with various elements presents a versatile method to tailor these properties. In this work, we investigate the doping process of ZnO films with Mn using supercycles of atomic layer deposition (ALD), allowing for precise modulation of their electrical characteristics.

We employ scanning Kelvin probe force microscopy (KPFM) to measure the contact potential difference (VCPD) directly, providing invaluable insights into the influence of the dopant on the Fermi level position within the bandgap. Our results reveal changes in the work function correlated with varying Mn dopant concentrations, offering significant insights into the doping mechanism.

Additionally, we confirm the piezoelectric nature of the material through surface potential measurements, both before and after mechanical force application with a cantilever. Subsequent evaluation of the film's polarization behavior involves comprehensive measurements, including pre-polarization assessments and post-polarization analyses up to 18 hours, demonstrating the temporal stability of the polarization. Furthermore, polarization is assessed using intermittent mode, allowing for purely electrical polarization, with data acquisition facilitated through DataCube technology. Our findings unveil a strain gradient induced by polarization, indicative of inverse flexoelectricity phenomena.

This study contributes comprehensive insights into the electrical and mechanical properties of Mn-doped ZnO films grown via ALD, providing crucial knowledge for utilizing these films in various electronic and optoelectronic applications.

View Supplemental Document (pdf)
AF-MoP-61 Atomic Layer Deposition of HfO2 Thin Film Using a Novel Pyrrole Based Hf Precursor
Hyunwoo Jeong, Cheol wan Park, Kwan Hyun Park, Hyunkee Kim, Kyung Sik Lee, Jung Woo Park (Hansol Chemical Co., Ltd.)

Group 4 metal oxide materials such as ZrO2, TiO2, and HfO2 have attracted considerable attention for dielectric materials for microelectronic devices. HfO2 films has an outstanding high-dielectric constant (κ ~ 20-25, t-HfO2), large band gap Energy (Eg ~ 6.0 eV) and good thermal stability. For these reason, the HfO2 thin film applicate microelectronic devices such as the dynamic random access memory (DRAM) capacitors and central processing memory (CPU) gate dielectric application.

The most representative Hf precursor, CpTDMAHf (HAC), is known to exhibit excellent film properties when reacted with O3 at 320℃. Recently, research is being conducted to develop precursors that can replace HAC under various conditions (temperature, reactant gas). We have developed precursors that can be used in either high or low temperature deposition and recently, we have designed new precursors for the application of various reaction gases.

In this study, we propose a novel pyrrole based Hf precursor namely HEP. The physical characteristic of HEP was investigated by NMR Spectroscopy. Also, we measure the properties of the HfO2 thin film of HEP against usually used HAC by thermal atomic layer deposition (TALD). For both precursors HEP and HAC, the characteristic self-limiting ALD growth mode was confirmed. HAC reacts with O3 at 320℃ to form HfO2 films, while HEP reacts with H2O to form HfO2 films.

Composition ratio of HfO2 films were investigated by XPS. HfO2 films deposited with HEP showed a comparable composition ratio to HAC at a lower temperature of 280℃. Also, C impurities in deposited film of HEP were 0.34%, which is lower than that of HAC (0.59%). Surface roughness of HfO2 films were investigated by AFM. The deposited film of HEP represented comparable roughness compared to that of HAC.

From this study, The HEP is expected to be advantageous precursor for low temperature thin film deposition technique.

View Supplemental Document (pdf)
AF-MoP-62 Low-Carbon Silicon Oxynitride Films with Trisilylamine
Youngju Ko, Hyeonjin Choi, Jinmyeong Kim, Namgun Kim, Heeyeop Chae (Sungkyunkwan University (SKKU))
Silicon oxynitride (SiON) has better barrier properties than silicon oxide (SiOx) and better transmittance properties than silicon nitride (SiNx).[1] Good SiON properties are required in various industrial fields such as semiconductors, organic light emitting diodes (OLEDs) and solar cells. One of the requirement for good SiON films is low carbon impurities.[2] However, most of conventional precursors are carbon-containing precursors such as hexa-methyldisilazane (HMDS), bis(tertiarybutylamino)-silane (BTBAS), tris(dimethyl-amino)silane (TDMAS), etc.[3] In this study, trisilylamine (TSA), a carbon free precursor, was used to deposit SiON by both plasma enhanced chemical vapor deposition (PECVD) and plasma enhanced atomic layer deposition (PEALD) with minimal carbon impurities. SiON with TSA had less than 3% carbon. Compared to the conventional precursors (BTBAS, TDMAS), there was a difference in carbon content of more than 10% points. The carbon bonding state in the films was identified as C-H bonding mostly, or hydrocarbon (CxHy) functional groups contained in the conventional precursors. As the carbon content increased, the silicon and nitrogen contents decreased and Si-N bonds decreased. This study suggests that TSA, a carbon free precursor, may be a suitable precursor for the deposition of SiON films having improved film density. View Supplemental Document (pdf)
AF-MoP-64 High-Temperature Atomic Layer Deposition of SiO2 Using Metalorganic Si Precursor
Sojeong Eom, Sanghun Lee, Hwi Yoon, Seonyoung Park, Seunggyu Na, Jisang Yoo, Seung-min Jung, Hyungjun Kim (Yonsei University, Korea)

Silicon dioxide (SiO2) has been widely used in the semiconductor industry due to its good insulation properties such as wide bandgap, low leakage current, and good thermal stability. For example, high-quality SiO2 films have been examined for use as tunneling oxide in NAND flash memory. The degradation of the device performance in 2D planar NAND was unavoidable as its integration density was increased solely through device scaling down. In this regard, vertically stacked NAND (3D V-NAND) was introduced, which could achieve a higher integration density by increasing the number of stacked layers. As a result, the device structure becomes more complex with high aspect ratio trenches. For these reasons, atomic layer deposition (ALD) is conducted for the deposition of the SiO2 tunneling layer in 3D V-NAND. Furthermore, it requires thermal ALD rather than plasma-enhanced ALD because it usually suffers from non-conformal deposition in a high aspect ratio structure. Consequently, there has been attracted attention to the development of high-temperature thermal ALD to achieve a conformal deposition of high-quality SiO2. For ALD SiO2, aminosilane has been widely used, but thermal decomposition would occur at high temperatures (> 300 °C) due to its low thermal stability of the Si-H bond. SiCl4 is known for good thermal stability, but it requires a large amount of exposure (> 109 L) and the growth per cycle (GPC) is low due to its low reactivity. In this manner, we explored high-temperature thermal ALD using ozone and aminosilane, where the Si-H is replaced with the Si-CH3 ligands, known for better thermal stability. The growth characteristics were investigated at deposition temperatures from 100 °C to 700 °C. The saturated GPC was 1.5 Å/cycle at 500 and 600 ℃, while CVD-like growth was observed at 700 °C, revealing the thermal decomposition of the Si precursor. We also compared the film quality of SiO2 deposited at 500, 600, and 700 ℃ by electrical characterization of metal-oxide silicon capacitor.

AF-MoP-65 Tailoring Crystal and Electrical Characteristics of Indium Oxide via Oxygen Reactants and Substrate Temperature Control in Atomic Layer Deposition
Seong-Hwan Ryu, TaeHyun Hong, Su-Hwan Choi (Hanyang University, Korea); Kyuhyun Yeom, Dae Won Ryu, Jang Hyeon Seok (Hansol Chemical); Jin-Seong Park (Hanyang University, Korea)

In recent years, oxide semiconductors (OSs) have shown potential as an alternative to Si-based semiconductors due to certain advantageous properties such as high mobility, reduced off-current, and amenability to low-temperature fabrication. In addition, OSs have the advantage of being able to engineer the properties of materials by introducing various metal elements and controlling their composition, so multi-component materials such as InGaZnO, InSnGaO, InSnZnO, InGaO, and InZnO have been explored. In common, indium oxide (InOx) is selected due to its favorable electron conduction attributes, so an in-depth understanding and controlling the factors affecting the properties of InOx is a competitive technique for advanced engineering of its multi-component properties.

In our study, we developed a highly reactive liquid indium precursor named DMITN and controlled the energy of the surface reaction in the atomic layer deposition (ALD) process. We systematically observed the impact of various reactants (H2O, O3, or O2 plasma) and substrate temperatures (100–250 °C) on the growth and characteristics of InOx thin films. The growth characteristics(ALD window, growth per cycle, and step coverage) and film properties(impurities, stoichiometry, oxygen bonding state, crystallinity, film density, and electrical properties) differed based on the ALD process employed, ascribed to the distinct thermal energies and inherent reactivities of the chosen oxidants. Among the various factors, it was confirmed that the degree of crystal alignment has a dominantly effect on the charge carrier mobility. Furthermore, we observed disparities in step coverage for a 40:1 high aspect ratio structure based on the type of reactant used. Notably, the use of H2O and O3 reactants showed superior step coverage (~95%) in comparison to O2 plasma (~74%). Our findings suggest that the growth and properties of InOx can be tailored by judiciously choosing the reactants according to the application requirements. Moreover, we suggest that highly reactive DMITN precursors have advantages for a wide range of applications because they can select various reactants. View Supplemental Document (pdf)
AF-MoP-67 Understanding Selectivity Loss in Area-Selective ALD - a DFT Investigation of the SMI Layer Disintegration Mechanisms
Philipp Wellmann, Ralf Tonner-Zech (Wilhelm-Ostwald-Institut, Universität Leipzig)

The large-scale applicability of ALD in next-generation semiconductor device manufacturing depends on the capability of area-selective process management. Most commonly, area-selectivity is achieved by inhibitor molecules binding to the non-growth surface, thereby shielding it from the ALD precursors. By choosing molecules of low molecular masses, the small molecule inhibitor (SMI) approach aims at high inhibitor vaporability for versatile application in high-volume manufacturing. Currently, the biggest challenge for SMI systems is the sustained selectivity over a satisfactory amount of ALD cycles. In a recent hybrid experimental and DFT study surveying various alkoxysilane inhibitors in blocking the deposition of Al2O3 on SiO2, the optimization of the SMI ligands for prolonged selectivity could benefit from a microscopic understanding of the chemical processes involved. [1] Yet, the diminishing selectivity over time - the processes disintegrating the SMI blocking layer and initializing the growth nucleation of Al2O3 - remains obscure.

This DFT modeling study investigates the possible disintegration reactions of common Al precursors with realistic SMI blocking layer models of the trimethoxypropylsilane (TMPS) SMI on amorphous SiO2 substrates. The SMI blocking layer is not inert but subject to several chemical modifications through the ALD experiment. By systematic variation of the blocking layer density, starting from a single TMPS on the surface up to a theoretical SMI layer density maximum, the dependence of the disintegration reactions on the SMI layer density is disclosed. Based on these findings, proposals for improved process selectivity are derived. The results are substantiated by an energy decomposition analysis.

1. Chemistry of Materials 2022 34 (10), 4646-4659, doi: 10.1021/acs.chemmater.2c00513

View Supplemental Document (pdf)
AF-MoP-68 Nucleation of Copper ALD Films Studied with in Situ Synchrotron Measurements
Aleksandra Figura-Jagoda, Sylwia Klejna, Mateusz Marzec (AGH University of Krakow, Academic Centre for Materials and Nanotechnology); Esko Kokkonen (Max IV Laboratory); Artur Kwiatkowski (AGH University of Krakow, Faculty of Physics and Applied Computer Science); Krzysztof Maćkosz, Camilla Minzoni (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland); Aleksandra Szkudlarek (AGH University of Krakow, Academic Centre for Materials and Nanotechnology); Ivo Utke (Empa, Swiss Federal Laboratories for Materials Science and Technology, Thun, Switzerland); Marcin Sikora (AGH University of Krakow, Academic Centre for Materials and Nanotechnology)

Although atomic layer deposition of metal oxide films is well established process, fabrication of conductive metal layers still remains a great challenge. The main issue is non-uniform island growth, which leads to non-smooth and non-compact films. Furthermore, the process is highly surface-dependent [1]. In this study we used ambient pressure X-ray photoelectron spectroscopy (AP XPS) to investigate the mechanisms governing the ALD of copper thin films, taking advantage of surface and element sensitivity of the method along with its high sensitivity to the chemical state. With a few-nanometer penetration depth makes it possible to observe the reactions that occur on the surface and to distinguish bonds between different species involved, including the organic ones. Therefore, AP XPS allows for studying the nucleation stage of the ALD process and observation of the saturation of precursors on the surface during the half-cycles [2].

The investigated process was Cu ALD from copper hexafluoroacetylacetonate, Cu(hfac)2, and diethyl zinc, Et2Zn, on two chemically different substrates: silicon dioxide and platinum. In-situ XPS cycle by cycle observations of the chemical state of the deposit and the surface showed substrate-dependent behaviour of precursors in the early nucleation stages. During the same phase of ALD process we observed higher copper signal of the platinum than on silicon dioxide. Zinc contamination was present on both surfaces after the full cycle. However, the Cu/Zn atomic ratio was significantly higher at the Pt substrate. Preliminary analysis does not seem to confirm the transmetalation reaction, proposed by [3]. Nevertheless, in-situ APXPS showed to be important method for better understanding of the mechanism of the nucleation and growth of copper.

[1] D. J. Hagen, M. E. Pemble, M. Karppinen, Appl. Phys. Rev. 2019, 6, 041309.

[2] E. Kokkonen, M. Kaipio, H.-E. Nieminen, F. Rehman, V. Miikkulainen, M. Putkonen, M. Ritala, S. Huotari, J. Schnadt, and S. Urpelainen, Review of Scientific Instruments 2022, 93, 013905.

[3] G. Dey & S.D. Elliott, J. Phys. Chem. C 2015, 119, 5914
AF-MoP-69 In-Situ Investigation of Oxidant Influence on Materials Properties of Ultrathin Cerium Oxide Films Using Novel Ce(Dpdmg)3 Precursor
Rudi Tschammer, Julia Kosto, Carlos Morales (BTU Cottbus); Marcel Schmickler (Ruhr Universität Bochum); Karsten Henkel (BTU Cottbus); Anjana Devi (Ruhr Universität Bochum); Jan Ingo Flege (BTU Cottbus)

Atomic layer deposition (ALD) has been used extensively to grow homogeneous films with excellent coverage and atomic-scale thickness control for a variety of applications. However, remaining challenges include the investigation of novel precursor-oxidant combinations aiming for high growth rates, low-temperature deposition as well as unraveling the complex interplay between film stoichiometry and oxidant choice for reducible oxides. In this work, we present a detailed investigation of ultrathin cerium oxide films grown using the novel Ce(dpdmg)3 precursor with different oxidants (H2O, O2, and O3). Following a surface science-based approach, we have combined operando spectroscopic ellipsometry and in-situ X-ray photoelectron spectroscopy to allow rapid process optimization and determination of film properties such as stoichiometry, contaminations, and thickness under ultra-high vacuum conditions. This way, a distinct dependence of initial Ce3+ content on film thickness and choice of oxidant is revealed, paving the way for tailoring the deposit properties toward different applications, e.g., gas sensing, through optimization of deposition parameters and precursor-oxidant interplay.

AF-MoP-70 Impact of the Knudsen Number on the ALD Saturation Profile Characteristics – Extended Slope Method
Christine Gonsalves, Jorge A. Velasco, Jihong Yim, Jänis Järvilehto, Ville Vuorinen, Riikka L. Puurunen (Aalto University)

Atomic layer deposition (ALD) is based on the self-terminating (i.e., saturating and irreversible) gas–solid reactions of at least two compatible compounds. Investigating the saturation profile of an ALD process inside a high aspect ratio (HAR) feature has emerged as a way to obtain information of the fundamental kinetics of the process. One-dimensional lateral HAR (LHAR) structures are especially suited for saturation profile analysis, and silicon-based microscopic LHAR structures have become available (PillarHallTM, Chipmetrics). For a fingerprint saturation profile obtained under Knudsen diffusion conditions [1], a simple slope method relates a lumped sticking coefficient describing the kinetics of an ALD reaction (assuming single-site Langmuir adsorption) to the slope of the adsorption front inside a LHAR structure [2].

In this work, we present a systematic analysis of the ALD saturation profile characteristics at a wide range of Knudsen numbers, from free molecular flow (Kn>>1, Knudsen diffusion) via transition regime (Kn~1) to continuum (Kn<<1, molecular diffusion) [3]. The simplest reaction case is considered, namely single-site Langmuir adsorption, with no generation of byproducts, and the simulations are made with the Ylilammi model [4]. We will show for example that the Knudsen number regime affects both (i) the penetration depth of the ALD film (free molecular flow resulting in the deepest penetration) and (ii) the slope of the adsorption front of the saturation profile (continuum resulting in steepest slope). On the basis of analysis of the slope of the adsorption front, we propose an extended method, where in addition to the square root relation with sticking coefficient at Kn>>1 [2], the slope has an inverse square root relation with the Knudsen number at Kn<<1.

[1] J. Yim, E. Verkama, J. A. Velasco, K. Arts, R.L. Puurunen, Phys. Chem. Chem. Phys. 24 (2022) 8645-8660. https://doi.org/10.1039/D1CP04758B

[2] K. Arts, V. Vandalon, R. L. Puurunen, M. Utriainen, F. Gao, W.M.M. Kessels, H.C.M. Knoops, J. Vac. Sci. Technol. A 37 (2019) 030908. https://doi.org/10.1116/1.5093620

[3] C. Gonsalves, J. A. Velasco, J. Yim, J. Järvilehto, V. Vuorinen, Riikka L. Puurunen, Submitted

[4] Re-implementation of the Ylilammi et al. model, E. Verkama, R.L. Puurunen, https://github.com/Aalto-Puurunen/dream-ald, accessed 2.2.2024

View Supplemental Document (pdf)
AF-MoP-71 Atomic Layer Deposition of Hafnium Oxide for Ferroelectric Devices
Stijn van der Heijden, Bart Macco, Erwin Kessels (Eindhoven University of Technology, The Netherlands)

Ferroelectric hafnium zirconium oxide (HZO) is a promising material which can be used for next-generation applications such as FeRAM and FeFET. To achieve this ferroelectric property, a solid understanding of the growth, annealing, and quenching of this alloy is required. This work focuses on the growth of hafnium oxide by atomic layer deposition. The aim is to understand the individual process first such that it can subsequently be used in conjunction with a ZrO2 process for the creation of ferroelectric HZO.

The hafnium oxide films were deposited using the precursors CpHf(NMe2)3 and the co-reactant ozone. The films were deposited in an Oxford Instruments FlexALTM ALD reactor on Si wafers with a native oxide. The process parameters were optimized, such that saturated growth was achieved (Fig. 1). Various material properties, such as thickness, density, stoichiometry, and crystal phase, are investigated for various deposition and annealing temperatures, as these parameters can play a significant role in the inducement of the ferroelectric orthorhombic crystal phase. Furthermore, the nucleation of HfO2 on TiN is investigated, because the foreseen ferroelectric device structure utilizes HZO with TiN electrodes.

As the material is intended to be used in FeRAM devices, the conformality of the depositions is of profound importance. This conformality was investigated using lateral high-aspect ratio PillarHall™chips (Fig. 2a), and an explorative HfO2 deposition reached an aspect ratio of 55 (Fig. 2b). To reach this aspect ratio, a CpHf(NMe2)3 dose of 10 seconds, and an ozone dose of 1 minute was used. In future depositions, the recombination probability of ozone on HfO2 is going to be determined, such that the achieved aspect ratio for various ozone doses can be accurately estimated.

These results provide a solid stepping-stone for the formation of ferroelectric HZO which can be used in ferroelectric devices.

View Supplemental Document (pdf)
AF-MoP-72 Analysing Growth Behaviour of Low Temperature ALD ZnO Films on Meso-porous Si Gr Battery Anodes for Improved Performance SEI
Boris Hudec (Institute of Electrical Engineering, Slovak Academy of Sciences); Prangya P. Sahoo, Alper Güneren, Magdaléna Precnerová (Centre for Advanced Materials Application, Slovak Academy of Sciences); Michal Pecz (Institute of Electrical Engineering, Slovak Academy of Sciences); Karol Fröhlich (Centre for Advanced Materials Application, Slovak Academy of Sciences)

Application of ultra-thin ALD coatings has been shown to greatly improve the properties of various cathode and anode materials of Li-Ion batteries [1]. ALD oxides SnO2, Fe2O3 and ZnO have also been demonstrated as working anode materials [2,3]. In our recent work [4], we have shown that the electrochemical performance of the silicon/graphite (Si/Gr) anodes can be improved by ultra-thin ALD ZnO coating grown at 100 °C. We have shown that the ZnO surface coating effectively modified the SEI layer, lowering the SEI and charge-transfer resistances and thereby facilitating Li-ion transport to the anode volume. As a consequence, the rate capability became significantly improved for the ZnO-coated Si/Gr anodes. The ZnO-protected Si/Gr electrode minimized the amount of Li2CO3 formation during cell cycling. In the study we have experimented with ALD ZnO films grown by 5-40 ALD cycles, coating Si/Gr anodes of ~20 um of thickness with estimated porosity of ~70%. Batteries prepared with the ZnO films grown by 20 ALD cycles has shown the highest improvement in the rate capability.

Tackling the ALD growth on battery electrodes, which are essentially thick substrates of meso-porous nature, is inherently challenging due to extreme values of effective surface area and equivalent aspect ratio. Rather low thermal stability of the binder in the electrode puts a constraint on the deposition temperature, which was kept at 100 °C in this study.

The major challenge of the process tuning lies in the evaluation of the penetration depth and thickness homogeneity of the films in the battery electrode. In this study we aim to correlate the ALD conditions to these properties, evaluated using a combination of non-invasive techniques. Selected experiments are evaluated using EDS scans on FIB-cut samples, and eventually correlated with the resulting electrochemical properties of fully assembled batteries.

The authors acknowledge support from VEGA Projects 2/0162/22 and projects APVV-19-0461 and APVV-20-0111. This study was performed during implementation of the project Building-up Centre for Advanced Materials Application of the Slovak Academy of Sciences, ITMS (Project 313021T081), supported by the Research & Innovation Operational Programme funded by the ERDF.

AF-MoP-73 Rapid ALD by Forced Flow Through 3-Dimensional Macroscopic Nanoporous Solids
Austin Cendejas (ASEE Postdoctoral Fellow Residing at U.S. Naval Research Laboratory); Benjamin Greenberg, Kevin Anderson, Boris Feygelson (U.S. Naval Research Laboratory)

Coating the internal surface of macroscopic high-aspect-ratio nanostructures via ALD opens new possibilities to tune optoelectronic, electrical, and mechanical properties of nanocomposites. The most common approach to achieving uniform infills has thus far been to employ static dosing, allowing precursors to defuse in, and byproducts out, of the tortuous porous networks.1,2 The timescales for individual ALD cycles are thus on the order of 10s of minutes, raising potential concerns about precursor stability and more practically, throughput.2 In this work, we develop a new approach to infilling macroscopic nanoporous solids in which pressure gradients on the order 10-100 Torr are employed to force precursor flow directly through the nanoporous network. This method was utilized to deposit ZnO films on the internal surface of ~2mm thick nanoparticle compacts comprised of 200 nm SiO2 nanoparticles. The effect of upstream backing pressure (i.e. pressure gradient across the compact) and precursor partial pressure on required saturation pulse times will be explored. The effluent composition downstream of the nanoporous solid is continuously monitored via in situ quadrupole mass spectrometry, allowing precise determination of precursor breakthrough. Additionally, the ALD coating on the internal surface is evaluated by X-ray diffraction, scanning electron microscopy and energy dispersive X-ray spectroscopy.

1. Gayle, A. J.; Berquist, Z. J.; Chen, Y.; Hill, A. J.; Hoffman, J. Y.; Bielinski, A. R.; Lenert, A.; Dasgupta, N. P. Tunable Atomic Layer Deposition into Ultra-High-Aspect-Ratio (>60000:1) Aerogel Monoliths Enabled by Transport Modeling. Chem. Mater.2021, 33 (14), 5572–5583.

2. Greenberg, B. L.; Anderson, K. P.; Jacobs, A. G.; Cendejas, A. J.; Hajzus, J. R.; Patterson, E. A.; Wollmershauser, J. A.; Feigelson, B. N. Conformal Coating of Macroscopic Nanoparticle Compacts with ZnO via Atomic Layer Deposition. J. Vac. Sci. & Technol. A2023, 42 (1), 012402.

AF-MoP-74 QCM the UiO Way, a Tutorial
Ola Nilsen (University of Oslo)

Quartz Crystal Microbalances (QCM) do provide great insight into the growth dynamics of ALD and MLD processes, particularly when compared to their cost and ease of installation and operation. However, they can be misleading if you rely on the Sauerbrey equation alone. This talk will be a tutorial on how we perform QCM measurements at the University of Oslo (UiO), from hardware to software and dealing with effects like variations in temperature and evolution of surface roughness during growth, with examples of what to avoid and what works.

AF-MoP-75 Sticking Coefficients in Atomic Layer Deposition Processes
Martin Knaut, Ole Bieg, Thomas Mikolajick (TU Dresden, Germany)

The reaction probability of an Atomic Layer Deposition (ALD) process is often described as a sticking coefficient, yet this oversimplification disregards the existence of at least two distinct reactions arising from the separate half-cycles involved. This paper presents the impact of both reaction probabilities on film growth characteristics, dependent on the applied precursors and process parameters. Macroscopic and microscopic test structures with high aspect ratios served as the experimental setup to deposit and assess thickness profiles in undersaturated film growth regimes. Through this methodology, we analyzed the reaction probabilities with respect to specific process parameters and their effects on film growth, uniformity, and step coverage. In the case of Al2O3 ALD, our model process, significant differences in reaction probabilities were observed between the two half-cycles, illustrating variations in the required doses for achieving full surface saturation. Moreover, the utilization of uncoated, pre-treated, or pre-coated substrates facilitated the differentiation between heterogeneous and homogeneous film growth, unveiling the associated reaction probabilities and their impact on the necessary process parameters. This study aims to enhance understanding of the phenomena occurring during ALD film growth and to enable adaptations of processes and parameters accordingly.

View Supplemental Document (pdf)
AF-MoP-76 A Data-Driven Approach to Analyzing Chemical Reactions and Predicting Film Properties in SiOxNy ALD Processes
Sung Kyu Jang, Hye-Young Kim, Jun-Hyeok Jeon, Hyun-Mi Kim (Korea Electronics Technology Institute); Hong-Sik Kim (SurplusGLOBAL); Seul-Gi Kim, Hyeongkeun Kim, Woosung Lee, Sun Gil Kim (Korea Electronics Technology Institute)
Atomic Layer Deposition (ALD) is widely used in the semiconductor industry due to its ability to deposit materials uniformly on high aspect ratio structures. Accurate control and prediction of thin film properties, including thickness, composition and optical properties, significantly affects the productivity of the ALD process. In this study, we equipped an ALD reactor with a time-of-flight mass spectrometer (ToF-MS) to monitor the process chemistry during film growth and to predict film properties. We performed SiOxNy ALD processes at different temperatures using hexachlorodisilane (HCDS) precursors. We investigated the degradation and depletion of precursors and by-products during the silicon SiOxNy ALD process using in-process ToF-MS. We successfully produced SiOxNy films with a controlled composition without oxidants. It is achieved by controlling the temperature, which influences the formation of the bond. In addition, we evaluated the growth rate, composition, and optical and electrical properties of the ALD synthesised SiOxNy films, including ellipsometry, X-ray photoelectron spectroscopy, and current-electric field and capacitance-voltage analysis. The results showed that the presence of Si-N bonds compared to Si-O and O-N bonds increased with increasing deposition temperature, and the dielectric constant of the film also increased. Finally, an artificial neural network (ANN) was used to build a predictive model trained on the properties of the ALD synthesised SiOxNy films and in-process ToF-MS data. The ToF-MS data, reflecting the precursor decomposition process, played a key role in predicting the final properties of the films. The data-driven modelling methodology developed in this study has the potential to be applied to other thermal and plasma deposition systems in the future. This research is expected to help improve the productivity of industrial manufacturing processes.
AF-MoP-78 In-situ Quartz Crystal Microbalance Measurement of Adsorption Equilibrium for Trimethylaluminum
Yuxuan Wu (The University of Tokyo); Jun Yamaguchi, Noboru Sato, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo, Japan)

Atomic Layer Deposition (ALD) is a precise and high-quality thin film deposition method that achieves film thickness accuracy on an atomic scale owing to its unique and specific deposition mechanism. The adsorption equilibrium constant (K) of a precursor is a crucial parameter that determines the feasibility of ALD design to achieve uniformity and conformality. Aluminum oxide (Al2O3) deposited via ALD using trimethylaluminum (TMA) and water has been a promising ALD method for decades. However, less information was collected to demonstrate the intrinsic understanding of its feasibility for ALD design using K. Quartz Crystal Microbalance (QCM) is a powerful method for measuring the adsorbed mass of the precursor during ALD, which can be used to evaluate the adsorption equilibrium and kinetics. In this presentation, QCM was used to measure the adsorption behavior of TMA during Al2O3 ALD.

A homemade hot-wall ALD including a commercial ceramic tubular furnace heater, a quartz tube chamber, and a customized gas supply system was used for TMA ALD and adsorption measurement. TMA and H2O served as the precursor and reactant, respectively in the bubbler, and He was used as the carrier and purge gases. TMA was vaporized in a bubbler at 20°C with 1200 Pa and the precursor line was maintained at 80°C to prevent condensation and the chamber temperature ranged from 140 to 180 Celsius. An in-suit QCM is installed at the center of the chamber to measure the adsorption and deposition of TMA. The quartz crystals (Piezo Parts Co., Ltd) are all in AT-cut with accurate measurements of different temperatures.

Fig. 1 shows the QCM measurement of TMA absorbed mass with the partial pressure of TMA from 140 to 180 Celsius. The adsorbed mass was recorded for evaluating the equilibrium constant K with Langmuir isotherm by using the following equation: θ=(KP)/(1+KP).

Several partial pressures (P) of TMA have been introduced and surface adsorption was recorded at certain temperatures to construct the adsorption isotherm of TMA, which is shown in Fig. 2. By obtaining the saturated adsorbed amount at high pressure, it is plausible to extrapolate equilibrium constant K from the relationship between surface coverage (θ) and partial pressure (P), which is the inverse of the slope as equations show following: (1/θ)=1+(1/K)(1/P).

The obtained K has the temperature dependency as shown in Fig.3. The ΔG of adsorption was obtained as -84.5 kJ/mol, which is close to the value reported by Quantum Computation (Density Functional Theory) calculations.

View Supplemental Document (pdf)
AF-MoP-79 Influence of N2/Ar Plasma Exposure Time on the Growth Kinetics of Epitaxial Inn Studied by in Situ Grazing Incidence Small-Angle X-Ray Scattering
Jeffrey Woodward (U.S. Naval Research Laboratory); Samantha Rosenberg (Lockheed Martin Advanced Technology Center); David Boris, Michael Johnson (U.S. Naval Research Laboratory); Zachary Robinson (SUNY Brockport); Scooter Johnson (Honeywell); Neeraj Nepal (U.S. Naval Research Laboratory); Karl Ludwig (Boston University); Scott Walton, Charles Eddy (U.S. Naval Research Laboratory)

Plasma-enhanced atomic layer deposition (PEALD) has significant potential as an enabler of new InN-based electronics due to its inherently low process temperatures, self-limited growth, scalability, and ability to achieve alloy compositions within miscibility gaps.[1] However, while multiple groups have reported the successful growth of epitaxial InN films with promising characteristics, the realization of true device-quality material is hindered by insufficient fundamental understandings of the PEALD processes, especially as pertains to the role of the plasma. Previously, it was found that the InN growth mode and coarsening behavior are strongly influenced by the concentrations of reactive and energetic plasma species.[2] These results highlight the tremendous level of control over the growth process and resultant film properties that can arise from deliberate tuning of the plasma properties, and as such, motivate further investigation into the relationships between various plasma properties and the growth kinetics.

In this work, we investigate the influence of plasma exposure time on epitaxial InN PEALD on GaN using in situ grazing incidence small-angle x-ray scattering (GISAXS), a technique which can provide a detailed description of the nanoscale structure of a film as it evolves in real-time. The PEALD process uses trimethylindium and N2/Ar plasma as metal precursor and reactant, respectively, and is performed at 250 °C. Plasma exposure time is varied from slightly undersaturated to optimized and excessive conditions. The relationship between plasma exposure time and the growth kinetics is discussed.

[1] H. Pedersen et al.,Cryst. Growth Des. 23, 7010–7025 (2023)
[2] J. M. Woodward et al., J. Vac. Sci. Technol. A 40, 062405 (2022)

AF-MoP-80 Theoretical Explorations of Vapour Phase Infiltration
Karl Rönnby (Tyndall National Institute, University College Cork); Michele Perego (CNR-IMM, Unit of Agrate Brianza); Michael Nolan (Tyndall National Institute, University College Cork)

Vapour Phase Infiltration (VPI) is a type of atomic layer deposition (ALD) in which the precursor is allowed to enter the substrate’s matrix, typically a polymer, rather than depositing on top of it. Precursor infiltration opens avenues for crafting hybrid materials or achieving nanopatterning when using a patterned block copolymer with different infiltration properties. To fully utilise this deposition technique, a deeper understanding of the interaction between the precursor and polymer becomes crucial. Thus, theoretical modelling of VPI is necessary to develop the process.

To efficiently model VPI, we have developed a modelling framework employing both non-periodic and periodic density functional theory (DFT) calculations. The non-periodic model was utilised to rapidly screen interactions between precursor and a gas-phase oligomer chain of the polymer. This approach allowed us to find how the precursor can bind with the polymer, the interaction energies and possible decomposition of precursor or polymer upon infiltration. The periodic model was constructed by taking oligomer chains of the polymer in a computation cell with periodic boundary conditions, creating an infinite polymer matrix. This allowed us to investigate how the precursor can interact with multiple chains, the swelling of the matrix and saturation behaviour with increasing amount of precursor.

The modelling results were compared to experimental VPI of trimethyl aluminium (TMA) into poly(methyl methacrylate) (PMMA) and poly(lactic acid) (PLA). We could then expand upon this work and extend the modelling possibilities to other precursors or polymers, gaining valuable information on the VPI processes.

AF-MoP-81 Synthesis and Characterization of Group III Precursors for Atomic Layer Deposition
Dexter Dimova, Sean Barry (Carleton University, Canada)

As device size in microelectronic structures decrease, atomic layer deposition (ALD) can be relied on to provide high surface uniformity at Angstrom level precision. Architectures such as gate-all-around (GAA) can benefit from this (Figure 1). The requirement for electropositive metals like group III (scandium and yttrium) has become higher since these centres are excellent n-type dipole shifters,1 and allow dipole tuning of the high-k/SiOx interface layer and simultaneously take advantage of the higher current carrying capacity of GAA FETs.

Generally, scandium and yttrium complexes have not been well explored in ALD: precursors with the general formulae M(thd)3, M(iPrAMD)3, and M(iPrCp)3 being the most prominent published precursors.2-7 These three families of precursors are homoleptic and coordinatively saturated, with little opportunity to introduce other ligands to tune volatility or reactivity. This presentation will discuss Sc and Y compounds using our group’s previously synthesized silicon-based ligand, geminal diaminosilane (gDAS).8 The versatility of this ligand arises from the simple modification of the alkyl functional groups, giving an excellent opportunity to elaborate the structure, as well as to select from mono- and di-anionic ligands using this framework. The monoanionic version of gDAS will chelate metals with +3 centers to form a 6-coordinate homoleptic geometry (Figure 2). Coordination may also be lowered to optimize the effects of steric bulk and metal center surface reactivity through synthesis of 3- or 4-coordinate heteroleptic yttrium and scandium precursors, where X will initially be amide ligands and L will be a simple, neutral base (Figure 3).

The complexes will be structurally characterized by proton and carbon nuclear magnetic resonance, and single crystal XRD. Thermal characterization by thermogravimetric analysis and differential scanning calorimetry will be used to investigate decomposition, evaporation, vapor pressure, and melting point. Analysis from these techniques and early deposition data will allow for comparison to known group III ALD precursors and inspire a family of potential complexes.

References

[1] Huang, A. et al. Chin. Sci. Bull. 2012 57, 2872-2878.

[2] Putkonen, M. et al. Chem. Mater. 2001, 13, 12, 4701–4707

[3] Putkonen,M. et al. Chem. Vap. Deposition. 2001,7, No. 1

[4] De Rouffignac, P. et al. Electrochemical and Solid-State Letters. 2006,9 (6) F45-F48

[5] Boysen, N. et al. RSC Adv. 2021, 11, 2565–2574

[6] Stafford, N. et al. ECS Transactions. 2009, 19 (2) 525-536

[7] Xu, R. et al. ECS Transactions. 2012, 50 (13) 107-116

[8] Griffiths, M. et al. J. Vac. Sci. Technol. A 2021 39, 032409.

View Supplemental Document (pdf)
AF-MoP-82 Suppression of Ru-loss and Crystallization of SrRuO3 Films via Optimization of Initial RTA Steps
Donghyung Lee (Seoul National University)

SrRuO3 (SRO) is an auspicious electrode material for next-generation dynamic random access memory capacitors utilizing SrTiO3 (STO) dielectric films due to their close lattice match.[1] Precious studies reported growing the SRO films by combining atomic-layer deposition (ALD) of SrO and pulsed-chemical vapor deposition (CVD) of RuO2, which generally results in amorphous as-deposited films.[ref] However, after post-deposition annealing (PDA) for crystallizing the SRO film, Ru concentration decreased due to volatile RuO4 formation, and the film morphology degraded by agglomeration. Therefore, these films could not serve as the optimum electrode layer. Recent studies investigated suppressing Ru-loss by Al-doping and optimizing the gas flow conditions during PDA after the SRO film deposition.[ref] Still, the genuine ALD process of the SRO film, i.e., both the SrO and RuO2 component layers are grown by the ALD mechanism, which may improve the film quality even after the PDA, is desired.

Therefore, this work reports the SRO films growth via the combined ALD of SrO and RuO2 layers using Sr(iPr3Cp)2 and Ru(EtCp)(ŋ5-CH2C(Me)CHC(Me)O) as the Sr- and Ru-precursors, respectively. The SRO film deposited on the Al2O3 substrate was crystallized by rapid thermal annealing (RTA) at 650°C under different gas environments. Even with the ALD SRO films, an O2 gas environment was required for the SRO crystallization. When the RTA was conducted for 75s, a severe Ru loss (25% loss) was observed, which also degraded the film quality. However, when conducting RTA with O2 for 25s followed by N2 for 50s instead of O2 for 75s, the Ru-loss rate was reduced from ~25% to ~5%. The crystallinity and surface roughness of the two films were similar. As a result of these process modifications, the resistivity of the 17nm-thick film was decreased from ~1000 for the ALD/CVD film to 112 Ohm-cm for the ALD film, suggesting the substantially enhanced film quality.

AF-MoP-83 Doped SnO2 Thin Films Fabricated at Low Temperature by Atomic Layer Deposition with a Precise Incorporation of Niobium Atoms
Getaneh Diress Gesesse (Institut Photovoltaïque d’Ile-de-France (IPVF)); Damien Coutancier (UMR-IPVF 9006, CNRS, Institut Photovoltaïque d’Ile-de-France (IPVF)); Mirella Al Katrib, Frédérique Donsanti (Institut Photovoltaïque d’Ile-de-France (IPVF)); Muriel Bouttemy (UMR 8180, CNRS, Institut Lavoisier de Versailles (ILV)); Nathanaelle Schneider (UMR-IPVF 9006, CNRS, Institut Photovoltaïque d’Ile-de-France (IPVF))

Doped SnO2 thin films have emerged as a focus of interest to address the limitations of undoped SnO2 in various applications. SnO2 alone has garnered considerable interest in applications such as transparent conducting oxides (TCO), fuel cells, photocatalysis, dye-sensitized solar cells (DSSCs), and touch screens. However, the low doping level of SnO2 hampers its performance in these applications. The incorporation of Nb(+V) into SnO2 offers a solution to this challenge, enhancing carrier concentration and electrical conductivity. This is facilitated by the close ionic radii between Nb(+V) and Sn, enabling easy distribution within the SnO2 lattice[1]. While there have few been studies on the properties and applications of atomic layer deposition (ALD)-fabricated SnO2:Nb films[2,3], less attention has been paid to understanding the ALD growth process and its correlation with film properties. This work aims to bridge this gap by developing SnO2:Nb thin films via ALD, investigating the growth process, and exploring various film properties.

Tetrakis(dimethylamido)tin(IV) and Tris(diethylamido)(tert-butylimido)niobium(V) precursors were used for Sn and Nb, respectively, with H2O2 and H2O as reactants. Nb incorporation was studied using in-situ quartz crystal microbalance (QCM), manipulating the supercycle ratio for doping control. The sequence of precursor and reactant introduction was altered to explore its influence on doping efficiency.

Ellipsometry, SEM, and GI-XRD confirmed the successful synthesis of homogeneous SnO2:Nb thin films by ALD.XPS analysis demonstrated variations in Nb atom concentration, indicating the tunability of doping efficiency through adjustments in precursor and reactant sequences.

The resulting films exhibited high optical transparency (>95% transmission over a wide UV-Vis-IR range) and tuned electrical properties, with resistivity decreasing upon Nb doping and reaching as low as 2.87x10-2 Ω·cm with a carrier concentration of -5.23x1019 cm-3 and mobility of 4.11 cm2/Vs.

In summary, Nb-doped SnO2 thin films synthesized at low temperatures (<100°C) via ALD demonstrated optimal Nb incorporation levels (<1 at.%) for enhanced electrical conductivity while maintaining high optical transparency. These findings pave the way for the integration of Nb-doped SnO2 thin films into temperature-sensitive, nanostructured optoelectronic devices.

References:

1. Ramarajan, et al; Ceramics International 46 (2020) 12224–12231.

2. Stefik, et al; Nano Lett. 2012, 12, 5431−5435.

3. Hu, et al; Adv. Energy Mater. 2014, 4, 1400510.

AF-MoP-84 ALD Deposited Lanthanum Doped HfO2 Thin Films on a Lateral High Aspect Ratio Structure (LHAR): Surface Characterization Using X-ray Photoelectron Spectroscopy (XPS) and Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS)
Jennifer Emara, Alireza M. Kia, Sascha Bönhardt, Clemens Mart, Kati Kühnel, Nora Haufe (Fraunhofer Institute for Photonic Microsystems Center Nanoelectronic Technologies); Riikka L. Puurunen (Aalto University); Mikko Utriainen (Chipmetrics Oy); Wenke Weinreich (Fraunhofer Institute for Photonic Microsystems Center Nanoelectronic Technologies)

With the growing semiconductor industry and the need to miniaturize devices, the use of complex microscopic three-dimensional (3D) structures is on the rise. To develop and enhance these technologies, a comprehensive study of the materials involved is crucial. However, these 3D structures make surface characterization of deposited thin films challenging. To overcome this, two-dimensional (2D) PillarHall lateral high aspect ratio (LHAR) test structures are used in this work. These single-crystal silicon structures have lateral cavities with different lengths (1µm to 5 mm), aspect ratios (AR) of 2:1 to 10,000:1, and polysilicon pillars that hold up a membrane. This membrane can be easily peeled off with tape, exposing the area where the ALD thin films were deposited, and thereby facilitating surface analysis.

Thin film hafnium oxides (HfO2) are used in micro- and opto-electronic devices due to their high-k­ properties. When doped with lanthanum (La), HfO2 becomes ferroelectric and can be used in technologies such as ferroelectric random-access memory (FRAM), tunnel junctions (FTJs) and field-effect transistors (FeFETs). In this work, thin films of La doped HfO2 were deposited on the LHAR structure using two different atomic layer deposition (ALD) processes: standard and three-step. To grow the doped films using standard ALD, the metal-organic precursors are injected into the process chamber alternately with an inert gas purging process in between. The three-step ALD process, where the precursors are subsequently introduced before the co-reactant (e.g. H2O), has previously been proven to produce homogeneous aluminum (Al), silicon (Si) and La doped thin films with low defect densities and reduced internal bias fields. In this work we aim to advance the surface characterization of the La doped HfO2 thin films prepared by using the two different ALD processes. To accomplish this, x-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) were used to analyze the uniformity and composition of the doped thin film. Imaging and analysis of the structured surface of the LHAR was possible for both techniques. Uniquely, XPS analysis of such small structures was possible using secondary electron imaging (SXI) and micro area analysis with a tunable x-ray beam down to ~7.5 µm. Both techniques provide a complementary and in-depth analysis of material formation, travel length, elemental distribution, and composition, and thus a comprehensive comparison between the ALD processes can be presented.

AF-MoP-85 Investigating and Overcoming the Challenges of Coating sub-Micron Dielectric Powders by Thermal and Plasma ALD
Shagufta Batliwala, Benjamin Peek, Paul Chalker, Adrian Gardner, Sarah Gare (University of Liverpool); Joseph Brindley (Gencoa); Richard Potter (University of Liverpool)

Over the past decade, ALD has emerged as a powerful technique for uniformly coating high surface area powders for a broad range of applications, including catalysts, battery electrodes, feedstocks for additive manufacturing and pharmaceuticals. The current work aims to investigate the process conditions required for precisely controlled uniform ALD on sub-micron dielectric powders using a home-built fluidised bed-style powder coating adapter in an Oxford Instruments OpAL reactor. The adapter has been designed to coat small-scale powder batches (100 mg to a few grams).

Initially, a recipe for the deposition of VOx on flat silicon samples was developed using Vanadium oxy tri-isopropoxide oxide (VTIP) and H2O. Transferring this recipe to coat powders proved to be a significant challenge. Hence, this work offers an opportunity to share insights into problems associated with powder ALD such as powder outgassing, powder agglomeration, longer resident times, and parasitic CVD reactions. A Gencoa Optix remote plasma optical emissions spectrometer sensor was used on the reactor foreline to provide insights into the initial powder outgassing and optimal reactants dose and purge times.

A range of bulk particles was investigated as substrates. Extended outgassing was observed when the powders were heated under vacuum resulting in VOx deposition when VTIP was dosed without any co-reactant doses. To address this, we investigated using pre-doses of Trimethylaluminium (TMA) before ALD of the target material. These doses resulted in the deposition of Al2O3 on the powder, which was an effective way to passivate the powder through gettering mechanisms. The outgassing was seen to be suppressed completely for non-porous substrates but persisted for the high surface area (~200 m2/g) powders with nanopores. A non-porous SiO2 powder with a nominal particle size of 0.5μm was chosen as the substrate to achieve controlled ALD.

To speed up the process and enhance the control, H2O was switched with a Meaglow hollow cathode plasma system with O2/Ar gas to generate oxidant species. There was strong evidence for a self-limiting ALD using the PEALD approach, but uneven deposition due to caking was observed in the powder bed for higher numbers of ALD cycles. It has been hypothesised that the static charge build-up during the longer runs was responsible for this caking. Introducing an H2O dose after every 20 PEALD cycles significantly enhanced uniformity and minimised the caking.

Mixed metals oxide ALD using the approach developed is now being explored as a route to nano-engineer core-shell heterogeneous catalysts.

AF-MoP-86 Indium Precursors with Improved Thermal Stability for Atomic Layer Deposition of Indium Oxide
Lukas Mai, Paul Mehlmann (Merck Electronics KGaA); Randall Higuchi (Intermolecular, Inc.); Holger Heil (Merck Electronics KGaA)

Atomic Layer Deposition (ALD) is a critical process for fabricating semiconductor thin films with atomic precision. Indium gallium zinc oxide (IGZO) is particularly important for thin-film transistors (TFTs) due to its excellent electrical and optical properties. Precursor thermal compatibility is crucial for ALD, as indium, gallium, and zinc precursors must be deposited at the same deposition temperature. Weak point in terms of precursors was found to be trimethylindium (TMI). Despite its excellent volatility and good reactivity suitable for ALD, it is limited by its solid state at room temperature, pyrophoric nature, and low thermal stability, with a maximum deposition temperature of 250°C.

Addressing these limitations, new indium precursors have been developed with enhanced thermal stability and a melting point below 50°C to ensure consistent vapor pressure in ALD processes. Through thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC), we evaluated melting points, vapor pressures, and decomposition temperatures, identifying two promising candidates surpassing TMI in performance.

Both precursors show a high volatility suitable for ALD experiments. Moreover, they are designed to withstand ALD processes above 250°C, potentially matching the thermal properties of gallium and zinc precursors and enabling their use at the same deposition temperature. This was confirmed by initial ALD testing, indicating that these novel indium precursors exhibit improved thermal stability.

In conclusion, the introduction of new indium precursors with better thermal properties and handling ease marks a considerable advancement in ALD technology. This progress promises to refine the production of IGZO films, pushing the boundaries of TFT performance and the development of flexible and transparent electronic devices.

AF-MoP-87 DFT Study of Metal Precursor Pulse in Atomic Layer Deposition of Pt
Sylwia Klejna (AGH University of Krakow)

Atomic layer deposition of metals relies on sequential pulses of metal precursor – metal-organic complex and co-reagent that removes the organic ligands to yield nanometre thin metallic target film. The chemisorption of the metal precursor on the substrate material is a crucial step in ALD process. It determinates the efficiency of the deposition, setting the length of the incubation period and the nucleation dely. In this study, density functional theory (DFT) is utilized to investigate the metal precursor pulse in the MeCpPtMe3-based Pt ALD(MeCp – methylcyclopenthadienyl ligand, CH3C5H4; Me – methyl group, CH3). Adsorption, decomposition and dehydrogenation pathways during metal precursor pulse are computed. Volatile by-products are identified: CH4, C2H4 and MeCpH and the thermodynamics of these reactions are studied. The discussed processes are investigated on different surfaces: during nucleation on SiO2 and during steady-state on the Pt substrate.

AF-MoP-88 Analytical Factors in High-Resolution Mapping of Low Leakage Current Detection in High-K Materials Deposited by Atomic Layer Deposition
James J.Y. Su, B.H. Liu, C.C. Kei, C.N. Hsiao, F.Z. Chen (Taiwan Instrument Research Institute, National Applied Research Laboratories)

High-resolution mapping of physical and electrical properties has been shown good spatial correlation results by adopting current sensing atomic force microscopy (CS-AFM). However, precision analysis such as low leakage current detection in the pA range could be altered by external factors. In this work, key factors have been optimized in order to improve the resolution and repeatability of low leakage current mapping in high-k materials including HfO2 stacks in different thickness. Moreover, this method could be further applied to identify the minimum required thickness of high-k materials. HfO2 is a known material with insulating properties, high refractive index and low absorption. But there are tremendous differences in the large number of processing factors as reported, such as precursor temperature, flow rate, flow distribution, container geometry, chamber volume, pressure, carrier gas, etc. Low leakage current mapping provides statistical results to ensure high-k material quality. Our results indicate that the key factors in low current sensing measurements must be taken into account when performing CS-AFM analysis. Especially when comparing results obtained in different requirements.

Session Abstract Book
(741KB, Jun 24, 2024)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule