ALD2023 Session AM-MoP: ALD for Manufacturing Poster Session

Monday, July 24, 2023 5:45 PM in Evergreen Ballroom & Foyer

Monday Evening

Session Abstract Book
(297KB, Jul 29, 2023)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD2023 Schedule

AM-MoP-1 Numerical Analysis on Gas Flow Field for a Sustainable ALD Process Chamber
Kyung-Hoon Yoo (Korea Institute of Industrial Technology (KITECH)); Geun-Soo Song (KUMYOUNG ENG Inc.); Chun-Sik Kim (TNG Inc.); Jun-Hyung Hwang, Hye-Jin Lee, Sang-Ho Lee (Korea Institute of Industrial Technology); Kun-Hyung Lee (SAMSUNG DISPLAY)

In order to develop a sustainable ALD processing cluster tool for 300 mm wafers, it is necessary to establish a manufacturing technology for a high-productivity, high-efficiency ALD process chamber that reduces the intrinsic excessive consumption of energy and materials.1 In the present study, as the part of countermeasure to the excessive consumption, a micro-gap ALD process chamber is considered for the optimized design with the process space volume decreased. The changes in the flow field of nitrogen in the process space of the process chamber with the gap sizes of 1 mm and 10 mm respectively are observed at 200 oC, utilizing computational fluid CFD numerical analysis. For the present nitrogen flow field with a base pressure of 1 Torr and a temperature of 200 oC, the Knudsen number Kn<0.1 and Reynolds number Re<<2300 are evaluated, and consequently the continuity and momentum equations of a steady-state compressible laminar flow field are considered.2,3

Acknowledgment

This work was supported by the Korean Ministry of SMEs and Startups, under Award no. S2960951.

References

1. C.Y. Yuan and D.A. Dornfeld, 2010, J. of Manufacturing Science and Engineering132, 030918 (2010).

2. M. R. Shaeri, T.-C. Jen, C. Y. Yuan and M. Behnia, International Journal of Heat and Mass Transfer89, 468 (2015).

3. E. J. McInerney, 2017, J. Vac. Sci. Technol. A35, 01B138 (2017). View Supplemental Document (pdf)
AM-MoP-2 Atomic Layer Deposition Reactor for Fixed-Bed Powder Processing with Inert Sample Transfer
Simon Andsten, Jorge Velasco, Sauli Larkiala (Aalto University); Kalle Salonen (Elabs Oy engineering); Christine Gonsalves, Jorma Rask, Jan Stang, Ville Miikkulainen, Seppo Jääskeläinen, Riikka Puurunen (Aalto University)

Processing atomic layer deposition (ALD) coatings on porous high-surface-area particles is of increasing interest related to applications as heterogeneous catalysts. ALD on particles can be made in various reactor configurations such as fixed powder bed, fluidized bed, and rotating drum. Also reactors meant for thin film processing are used for porous particles, by placing the particles on a tray and allowing the gasses to flow over the bed and diffuse into the bed.

Although the fundamental ALD mechanisms are the same irrespective of the geometry of the substrate material, specialized particle coating reactors differ significantly from mainstream thin-film ALD reactors. Most important is to take into account the much larger reactant doses needed to saturate the surface with the adsorbed species. One gram of a high-surface-area often contains ~100 to 1000 m2, compared to ~0.1 m2 of a typical silicon wafer. The required amount of reactant scales directly with the surface area to be coated. Furthermore, porous high-surface-area materials can be estimated to have extremely high aspect ratios (HAR): mesopores with 5 nm diameter and particle size of 1 mm give an aspect ratio of ~100 000. Coating HAR substrates requires longer reactant exposures, allowing the reactant to diffuse into the structure. Of the various specialized particle coating reactors, the fixed bed reactors are the most simple to construct, and oldest in use: both historical development branches of ALD - atomic layer epitaxy (ALE) and molecular layering (ML) - employed such reactors.

In this work, we present a new ALD reactor design for coating porous high-surface-area particles in a fixed bed. The reactor reported in this work is aimed for fundamental laboratory-scale studies, allowing the coating of a few grams of porous high-surface-area material at a time. Loading and unloading of the sample inertly is possible, enabling the processing of air-sensitive substrates and the investigation of the adsorbed species without air contact. Pre-treatment can be made at temperatures up to 800°C under controlled gas flow. ALD reactants are loaded in sources which can be heated up to about 200°C. Gaseous sources are included. The reactor is equipped with an afterburner and condenser for treating the unreacted reactant. Port for gas analysis e.g. via mass spectroscopy is foreseen.

View Supplemental Document (pdf)
AM-MoP-3 Reverse Templating Effects of Low-Resistivity Ru Ald on Sputtered Ru
Chenghsuan Kuo, Victor Wang (UCSD); R. Kanjolia (EMD Electronics, USA); Mansour Moinpour (EMD Electronics); J. Woodruff (EMD Electronics, USA); Harsono Simka (Samsung Electronics); Andrew Kummel (UCSD)
Ruthenium is a promising candidate to replace Cu as an interconnect metal due to its low resistivity in narrow vias and resistance to electromigration. In previous work, a Ru Atomic Layer Deposition (ALD) process using Ru(CpEt)2 and O2
was developed to produce films with bulk-like resistivities. However, the ALD exhibits poor initial nucleation with variable initial nucleation delay causing thickness control to be difficult and high surface roughness. In this work, the effects of depositing low resistivity ALD Ru on 2 and 10-nm sputtered Ru films are investigated to eliminate the initial poor nucleation while retaining low overall film resistivity. View Supplemental Document (pdf)
AM-MoP-4 Thermal Evaporation Enhanced Atomic Layer Deposition for Far Ultraviolet Mirror Coatings
Robin Rodríguez, John Hennessy (Jet Propulsion Laboratory (NASA/JPL))

UV instruments on NASA space missions such as Hubble Space Telescope (HST), the Far Ultraviolet Spectroscopic Explorer (FUSE), and the Galaxy Evolution Explorer (GALEX) have made groundbreaking astrophysical discoveries in areas as diverse as galaxy evolution, star formation, and molecular cloud chemistry. These spectrometers have all benefited from the use of Al mirrors that are highly reflective in the ultraviolet (UV). Aluminum is the only reflective metal that offers broad ultraviolet/visible/near-infrared response, making it highly relevant for use in all far ultraviolet (FUV, 90-200 nm) instruments. However, aluminum is very reactive and susceptible to oxidation, which can limit its reflective performance in the FUV. Ultra-thin coatings of metal-fluorides such as AlF3, MgF2, and LiF can be used to protect the Al surface while preserving its high reflectance in the FUV. Atomic layer deposition (ALD) provides unparalleled uniformity and thickness control, making it the ideal process for coating these curved mirrors and shaped optics. However, the Al mirrors are typically fabricated via a separate physical vapor deposition (PVD) process, requiring the mirror to move between one vacuum system to another and exposing it to air, which results in the immediate formation of the native oxide on the Al surface. Therefore, it is necessary to coat the Al surface with the metal-fluoride before exposing it to air.

Herein lies the need to have both processes, PVD and ALD, occur within the same vacuum environment. We report on the development of a custom, in-house built, thin film deposition reactor that is capable of doing thermal evaporation of Al and ALD of metal-fluorides within the same vacuum chamber. Sequentially combining both deposition techniques without breaking vacuum has the potential to enhance the performance of UV aluminum mirrors. It also has the potential to enable the fabrication of metal-dielectric bandpass filters with deeper FUV transmission bands. In this presentation we will describe our thermal evaporation enhanced ALD (TE-ALD) reactor and present preliminary characterization results of FUV mirrors and bandpass filters fabricated using this reactor.
AM-MoP-6 Technical Analysis and Solution of Critical Electrostatic Chuck Problem in High Temperature CVD Process through Estimation Model of the Johnsen-Rahbek Chucking Force
Youngbok Lee, Sangchul Han, Seongkeun Cho, Yihwan Kim (Samsung Electronics)

In the state-of-the-art semiconductor manufacturing process, the deposition layer becomes thicker and contains higher stress, which requires higher chucking force and leads to various wafer chucking problems on Electrostatic chuck (ESC). ESC is the device utilized to fix the wafer by attractive force (chucking force) during the semiconductor manufacturing process such as chemical vapor deposition (CVD), etching and ion implantation. There are technical problems with the ESC during the processes such as Amorphous Carbon Layer (ACL) and mold CVD where excessively high voltage is currently required for increasing chucking force, which can lead to arcing problems and damages on wafers. Also recently, wafer backside defect problems such as cracks and scratches marks are arising during the CVD processes which is caused by excessive chucking pressure.

To prevent such issues in the ESC, it is helpful to estimate the chucking force at the various conditions. Therefore, we have established a rigorous estimation model of chucking force based on Johnsen-Rahbek type ESC. The proposed model reflects the emboss structure and dielectric coating on the ESC, and various layers of the wafer. The effect of the ESC geometry such as diameter, height and number of the emboss on the chucking force is adequately reflected on. Also, the model predicts the trend of change in chucking force with respect to the thickness/material of the ESC coating and layers on the wafer.

Based on the model, it is possible to verify how the chucking force changes according to the various conditions. Several technical proposals, such as changing the material of the ESC coating and wafer layers, are suggested in this research to increase the chucking force. Also, a strategy to relax the chucking pressure while maintaining the total chucking force same was suggested. Therefore, this proposed model can contribute to solve the chucking problems by giving the suggestions to increase the chucking force without the excessive chucking voltage. Moreover, the proposed methodology and analysis can be easily adapted to other processes and equipment that require extreme chucking performance in high temperature and thick layer condition.

AM-MoP-10 Multi Cycle and Material Deposition for Spatial Atomic Layer Deposition Process
Atilla Varga, Matthias Carnoy, Mette Funding la Cour, Maksym Plakhotnyuk, Ivan Kundrata (ATLANT 3D); Julien Bachmann (Friedrich-Alexander Universität)

Spatial Atomic Layer Deposition (sALD) offers a unique opportunity for localized deposition due to its physical separation and isolation of precursor and co-reagent dosing.[1] While simple in theory, due to well-developed examples of sALD, in practice miniaturization of sALD requires substantial effort into the creation of suitable micro-nozzles.[1] Uniquely, ATLANT 3D has developed proprietary sALD micronozzles, called microreactor Direct Atomic Layer Processing - µDALPTM.

The µDALPTM process undergoes the same cyclic ALD process but is only done in a spatially localized area.[2] The microreactor or micronozzle confines the flows of gases used for ALD within a defined µm-scale centric area on the substrate, to deposit the desired material. Similarly, to spatial ALD, the creation of this monolayer then hinges on the movement of the substrate.[1,2]

Since sALD and the µDALPTM process are based on physical separation, it is theoretically compatible with any ALD material process however requires development as ALD processes are highly tool dependent.[3] As such, the material capabilities can match traditional ALD and exceed other patterning techniques, such as lithography, which can be costly and time-consuming, especially for rapid prototyping required for innovation.[4,5]

sALD using the µDALPTM technology also vastly increases the efficiency and innovation potential of material and precursor development. Using a small amount of precursor (due to low flow rates required) multiple film thicknesses can be deposited onto a single wafer used to calculate a processes growth rate within only a few hours, compared to days for a traditional ALD process (Fig 1). Multiple depositions can also be performed at varying temperatures for the calculation of temperature dependent growth rate (for “ALD window”), and film characteristics all within a few hours on a single sample. The µDALPTM process has also been used to demonstrate the selective deposition of different materials on the same substrate without the need for masking shown in Fig 2. By facilitating the more efficient development of ALD processes, µDALPTM sALD can help to enable continued and more efficient growth of the ALD industry and the development of new and innovative technologies. Multi-material sALD also enables unseen potential for versatile patterning and complex geometry formation, applicable to efficient, iterative, and low-cost device and sensor development.

View Supplemental Document (pdf)
AM-MoP-11 Hike Furnace HCD SiN Matching TEL Furnace HCD SiN
Yuan Hsiao Su (Taiwan Semiconductor Manufacturing Company)

In this work, we describe how Hitachi Kokusai (HiKE) vertical furnaces developed the novel thin film formation method, to provide the second tool type of FinFET hard mask silicon nitride (HM SiN) process that widely used in tsmc very large scale integrated (VLSI) devices fabrication line, The background is the first tool type Tokyo Electron (TEL) not able to provide formula furnace with end of maintenance (EOM).

We develop an innovation HiKE low-temperature 500C process with good film property control of silicon nitride (SiN) formed by low-pressure atom layer deposition (LPALD), and it has been developed by using hexachlorodisilane (HCD, Si2Cl6). The potential scope of application to lead the advanced production line extend from the conventional low-pressure vapor deposition (LPCVD) technique performed at 600C and produced by TEL formula vertical furnaces. The film formed by HiKE furnace shows excellent uniformity with the same refractive index 2.28 and silicon-nitride ratio (Si:N ratio), to lead the same performances of film properties and is advantageous for thermal budget and cost reduction.

In this study, HCD-SiN deposition characteristics, temperature dependence of the film composition and film properties under VLSI fabrication processes are reported, and the differences with the conventional LPCVD HCD-SiN are discussed.

Session Abstract Book
(297KB, Jul 29, 2023)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD2023 Schedule