ALD2019 Session AF2-MoP: Precursor Selection and Growth Optimization Poster Session

Monday, July 22, 2019 5:45 PM in Evergreen Ballroom & Foyer

Monday Afternoon

Session Abstract Book
(392KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule

AF2-MoP-1 Atomic Layer Deposition of Cyclopentadienyl Based Hf Precursor With Various Oxidants
Jooho Lee, Daehyeon Kim, Wontae Noh (Air Liquide Laboratories Korea, South Korea)
In electronic devices, a hafnium based oxide film has drawn a lot of attention, because it is a potential High-k material that can replace SiO2 in a conventional transistor. Recently, hafnium based oxide films can be used for other applications, such as next generation DRAM capacitors and NAND flash memories. HfCl4 was one of the best precursor candidates for a HfO2 film, however, there are some issues related to corrosive halide ligands, low vapor pressure, difficulty in delivering a solid precursor. In order to solve those issues, Hf(RCp)(NMe2)3 (R = H, Me), which are chlorine free precursors, were proposed. In this work, these precursors were evaluated for physical properties and ALD processes. Both precursors showed high thermal stability and clean evaporation in TG. Hf(Cp)(NMe2)3 and Hf(MeCp)(NMe2)3 have high vapor pressure (1 Torr at 90℃) and low viscosity (9 cP at 30℃). According to ALD evaluation, both precursors obtained high ALD windows up to 360 - 370℃ with a growth rate of 0.9 - 1.0 Å/ cycle with ozone and a growth rate of around 0.5 Å/ cycle with water. X-ray photoelectron spectroscopy (XPS) showed that deposited thin films were pure, carbon and nitrogen impurities were below the detection limit. Step coverage of the film was excellent (~100%, AR= 1:40) at 360℃. View Supplemental Document (pdf)
AF2-MoP-2 Atomic Layer Deposition of Magnesium Oxide Thin Films by using Bis(ethylcyclopentadienyl)Magnesium Precursor and H2O, O2 Plasma and O3 Reactants
Moo-Sung Kim, Se-Won Lee (Versum Materials Korea, Republic of Korea); Sergei Ivanov (Versum Materials, Inc.)

Since MgO has high temperature stability, wide band gap, insulating, and diffusion barrier properties, it has been studied to use as a cathode coating layer in Li-ion battery, buffer layer for superconductors, high-k gate dielectric and ferroelectric non-volatile memory, a dopant for High K capacitors, etc.

In this work, deposition of magnesium oxide (MgO) thin films was conducted with liquid precursor Bis(ethylcyclopentadienyl) magnesium (Mg(EtCp)2) and various reactants such as H2O, O2 plasma and O3 by using atomic layer deposition (ALD) method. The MgO films were analyzed by ellipsometry, transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and X-ray reflectivity (XRR) to compare step coverage, chemical composition, crystallite orientation as well as film density of the films deposited with three different reactans.

ALD characteristic saturation behavior was observed for H2O and O2 plasma processes between 200 and 300oC with Mg saturation pulse time 1 sec and 1.5 sec, respectively. GPC of MgO at 250oC was 1 A/cy with H2O and O2 plasma processes. In the case of O3 process, however, ALD characteristic saturation behavior was only observed above 250oC. MgO deposition rate was constant between 200oC and 300oC for O2 plasma process only. MgO deposition rate was decreased with temperature in the case H2O process, and was increased with the temperature in the case of O3 process.

MgO films deposited with water thermal ALD and O2 PEALD exhibited good stoichiometric composition about Mg:O = 1 : 1 with low carbon content and excellent step coverages at the deposition temperatures between 200 and 300oC. MgO film density with XRR was ~3.6g/cc at most deposition conditions. However, in O3 process at 200oC, a large amount of carbon (~ 13%) was detected, which also led to poor step coverage (~ 68%). XRR density was less than 2.5g/cc, lower than other deposition conditions. In addition, it showed no MgO XRD peak suggesting deposition of amorphous film. On the contrary, O3 process at 300oC showed sharp and strong MgO XRD peak with (200) dominant orientation, and film density was ~3.5g/cc, similar to H2O and O2 plasma processed MgO films.

In summary, we have demonstrated MgO ALD with Mg(EtCp)2 and 3 types of reactants, H2O, O2 plasma, and O3. Most conditions showed stoichiometric film composition, and good step coverage. Only O2 plasma process showed constant ALD rate between 200 and 300oC. O3 process below 250oC showed high carbon and oxygen content in the film, lower film density and poor step coverage. However, at 300oC, similar films were deposited with all three reactants.

AF2-MoP-3 Comparative Study between CpTi(OMe)3 and CpTi(NMe2)3 for Atomic Layer Deposition of Titanium Oxide
Jaemin Kim, Seongyoon Kim, Romel Hidayat, Yeongchan Choi, Hye-Lee Kim, Won-Jun Lee (Sejong University, Republic of Korea)

Titanium oxide (TiO2) and titanium-based perovskites have been attracting attention as capacitor dielectric materials for the next-generation DRAM. Atomic layer deposition (ALD) is used as a deposition method because it can prepare conformal films over high-aspect-ratio capacitor structures. Titanium precursors capable of high-temperature ALD process were studied to produce high-quality TiO2 films with excellent step coverage. The most common ALD precursors, tetrakis(dimethylamino)titanium and titanium tetraisopropoxide, showed low ALD temperatures due to their insufficient thermal stability. Heteroleptic titanium precursors having a cyclopentadienyl (Cp) ligand that binds strongly to titanium have been reported to increase the ALD process temperature [1]. There are two types of the titanium precursors with a Cp ligand: alkylamines having dimethylamino (NMe2) ligands and alkoxides having methoxy (OMe) ligands. However, no direct comparison between two types of precursors and ALD processes using them has been reported. In the present study, an alkylamine-type heteroleptic precursor, tris(dimethylamino) cyclopentadienyl titanium [CpTi(NMe2)3], and an alkoxide-type heteroleptic precursor, trimethoxy cyclopentadienyl titanium [CpTi(OMe)3], were comparatively studied. Ozone was used as an oxidizing agent for ALD TiO2. The saturation doses of both precursors were measured at different temperatures to determine the ALD temperature window. The results showed that CpTi(OMe)3 has better reactivity and thermal stability compared to CpTi(NMe2)3., which is explained by density functional theory calculations. Both precursors showed excellent step coverage and relatively wide bandgap at the temperature at which the thin film grows only by the ALD reaction. However, the poor step coverage and narrow bandgap were observed at temperatures at which the CVD reaction occurred due to the thermal decomposition of the precursor. Therefore, a titanium precursor capable of a high-temperature ALD process is essential, and the alkoxide-type titanium precursor is superior to the amine-type titanium precursor.

[1] R. Katamreddy, et al., in: ECS Trans., ECS, 2009: pp. 217–230. doi:10.1149/1.3205057.

AF2-MoP-4 Tin Nitride Atomic Layer Deposition using Hydrazine
Ann Greenaway, Adele Tamboli, Steven Christensen (National Renewable Energy Laboratory)

There is substantial lag in the development of atomic layer deposition (ALD) processes for nitridescompared to the high-quality, conformal oxides for which ALD has become the standard. A major factor in this disparity is the ready availability of highly reactive oxygen sources (mainly H2O, O2, and H2O2). High-energy nitrogen precursors are similarly required for the efficient incorporation of nitrogen in a film. Ammonia has often been used in conjunction with metal chlorides but requires relatively high temperatures for thermal ALD. Plasma-enhanced ALD can utilize molecular nitrogen as a precursor but can reduce film conformality on complex supports and damage the underlying substrate.

Hydrazine (N2H4) is an alternative precursor which has been rarely explored for the fabrication of nitrides in ALD, but which is experiencing a surge in popularity due to its high reactivity, which enables the deposition of nitrides as-yet undemonstrated by ALD.1 The added reactivity and volatility of liquid hydrazine may enable new reaction mechanisms, lower deposition temperatures, and conformality for high aspect ratio applications.

Sn3N4 is a metastable semiconductor which shares a crystal structure with its analog, Si3N4; unlike Si3N4, Sn3N4 has only recently been grown by ALD,2 being synthesized much more often through reactive sputtering.3 As a binary, Sn3N4 has applications as a battery anode material, for photoelectrochemistry, and optoelectronic devices. We will report progress on the deposition of SnxNy films from tetrakis(dimethylamido) tin (TDMASn) and N2H4. Growth per cycle of this material (determined by x-ray reflectivity) is 0.4 Å at 200 °C, similar to the sole report of Sn3N4 from PE-ALD,5 despite films being substantially Sn-rich. Identification of ALD growth window and self-limiting deposition characteristics are underway; initial testing indicates a competing chemical vapor deposition process which can be eliminated with adequate tuning of pulse/purge characteristics. A comparison of film conductivity and optical absorption at different growth temperatures will be presented. General issues of N2H4 purity and routes to prevent or control oxynitride formation will be discussed.

(1) Du, L., et al. The First Atomic Layer Deposition Process for FexN Films. Chem. Comm., 2019,ASAP. DOI: 10.1039/C8CC10175B [https://doi.org/10.1039/C8CC10175B].

(2) Stewart, D. M., et al. Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries. Chem. Mater. 2018, 30, 2526–34.

(3) Caskey, C. M., et al. Semiconducting Properties of Spinel Tin Nitride and Other IV3N4 Polymorphs. J. Mater. Chem. C 2015, 3, 1389–96.

AF2-MoP-5 Growing Polycrystalline Indium Oxide Film by Atomic Layer Deposition
Chien-Wei Chen (ITRC, NARL, Republic of China)
In light-emitting diode (LED) and thin film transistors (TFT) displays industry, In2O3 could be a high quality transparent conducting oxide (TCO) layer for enhancing the optical and electrical properties. Therefore, thickness control and uniformity of the film is important in the preparation of ultra-thin In2O3 film. In this study, the uniform polycrystalline In2O3 films were successfully grown on the 4” silicon(100) substrate at 300℃. Trimethylindium (TMI) and water were chosen as the metal and non-metal precursors, respectively. The In2O3 growing temperature is between 100℃ to 300℃ and the growth rate per cycle (GPC) increases and the surface roughness reduces with the temperature increasing. The GPC of In2O3 film grown at 300°C is 0.5Å and the refractive index n is found to be 1.98 at the wavelength of 632 nm which is close to the bulk. The linear growth rate of In2O3 and saturation behavior of TMI with different pulse time is shown in Fig.1 and Fig.2, respectively. Fig.3 (a) shows the TEM cross sectional image of In2O3 grown at 300°C. The lattice stacking shown in Fig.3 (b) presents the formation of poly crystalline In2O3 film. View Supplemental Document (pdf)
AF2-MoP-6 Low Temperature Tin Oxide by Atomic Layer Deposition
Yu-Chiao Lin, Bo-Heng Liu, Yo-Shane Yu, Chi-Chung Kei, Chien-Lin Lin (National Applied Research Laboratories, Republic of China)

Tin oxide (SnO2) has attracted lots of attention because of its excellent chemical, electrical, and optical properties. SnO2 films were deposited on Si(100) substrates by home-build atomic layer deposition (ALD) using tetrakis dimethylamino tin (TDMASn) as metal precursor and H2O as oxidant at low substrates temperature. Low temperature SnO2 ALD process is especially important due to low thermal budget consideration for thermally sensitive materials such as organic light emitting diodes and photovoltaic cells. Thickness and refraction index of SnO2 films were determined by ellipsometry. The surface morphology and cross-sectional image were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM), respectively. As shown in Fig. 1, the growth rate of SnO2 thin film at 150 oC was saturated about at 1.65 Å/cycle when TDMASn pulse time is larger than 0.7 s. The growth rate of SnO2 thin film increased to about 2.55 Å/cycle as the substrate temperature was decreased to 50 oC. Top-view SEM image in Fig. 2 shows uniform SnO2 thin films were deposited on Si wafer. Cross-section HRTEM image in Fig. 3 shows that the dense and continuous SnO2 thin films of 32.4 nm at very low substrate temperature (50 oC).

View Supplemental Document (pdf)
AF2-MoP-7 Dielectric ALD with Hydrogen Peroxide: Comparitive Study of Growth and Film Characteristics for Anhydrous H2O2, H2O2/H2O Mixtures and H2O
Daniel Alvarez (RASIRC); Keisuke Andachi, Gaku Tsuchibuchi, Katsumasa Suzuki (Taiyo Nippon Sanso Corporation); Jeffrey Spiegelman (RASIRC)

ALD of dielectrics requires new precursor chemistries. Development efforts have focused on new Organometallic, Organosilicon and Organoaluminum precursors. Our research focus has been on oxidants, and specifically hydrogen peroxide reactivity. Due to this reactivity, hydrogen peroxide use may allow lower deposition temperatures and achieve distinct properties in the resulting film when compared to other oxidants. Our research study uses:

  • Gas-phase hydrogen peroxide, delivered from an anhydrous, ampoule-based formulation by use of a membrane delivery system.
  • High concentration H2O2/H2O delivery by in situ concentration methods and use of a membrane vaporizer as a gas generator.

Initial results for ALD growth of ZrO2 from anhydrous H2O2 and CpZr(N(CH3)3) exhibit high quality growth of film at 260° C. Minimal saturation delay and a linear growth curve were observed. XPS and XRR were used to characterize ZrO2 composition, showing significant similarities to films grown using ozone. Subsequently, films grown using ALD and H2O2 were placed into MIMCAP structures, which had high k values measured at 35. This was a slight improvement over films grown with 20% ozone concentration which had high k values of 32.

Novel Gas Generator

Our approach involved development of a novel gas generator that delivers H2O2/H2O mixtures. A carrier gas is connected to this generator, which delivers up to 5% H2O2/21% H2O gas by volume from 30wt% H2O2 liquid solution (H2O/H2O2=4.2). This gas mixture enables SiO2 films to be grown at highly reduced temperature compared to water. Testing was done with tris(dimethylaminosilane) (N(CH3) 2) 3SiH and H2O2/H2O. SiO2 was deposited at temperatures at least 200° C lower with the hydrogen peroxide mixture than with water.

For Al2O3 ALD, initial results show that anhydrous H2O2 generates higher density films with better initial nucleation as measured by in situ XPS. The presentation will compare Al2O3 film characterization for anhydrous H2O2, H2O2/H2O mixtures and water. Data will be reported on wet etch rates, refractive index and capacitance. View Supplemental Document (pdf)
AF2-MoP-8 Atomic Layer Deposition of Carbon Doped Silicon Oxide and Effect of Thermal Treatment or Hydrogen Plasma Treatment on The Films
Meiliang Wang, Haripin Chandra, Xinjian Lei, Anupama Mallikarjunan, Kirk Cuthill, Manchao Xiao, Madhukar Rao (Versum Materials, Inc.)

Atomic Layer Deposition (ALD) of silicon oxide is commonly used in the semiconductor industry for its excellent thickness control and conformality. For some applications, films deposited at low temperatures with low wet etch rate or low dielectric constant (k) are required. Carbon doping is a known method to reduce the wet etch rate as well as the k value of the silicon oxide film. In this paper, ALD SiOxCy films were studied. The impact of oxidant concentration and deposition temperature on the carbon content, WER, k value and other properties of the deposited film, with and without post deposition annealing and post deposition hydrogen plasma treatment is discussed.

In ALD conference 2017[1], the impact of the precursor design for the number of Si-CH3, Si-N and Si-H bonds on the reactivity, carbon content, and dHF WER of the deposited films were reported. It was demonstrated that precursors with only one Si-CH3 bond substitution, eg. di-iso-propylaminomethylsilane (DIPAMS), could deposit silicon oxide film with a relatively high GPC and carbon doping up to 10 at. %. In this report, a new organosilane precursor “Precursor V” is designed and it provides higher reactivity and higher carbon content and lower WER than DIPAMS, films with up to ~20 at. % C are obtained. With thermal annealing at 600 ℃, film k value reduced from ~6 to < 4, almost no etch after 10min in 0.5% dHF dip, while film carbon content showed no change, and film shrinkage was < 2%. FTIR spectra show decreased Si-OH peak, and increased Si-O-Si network peak, indicating that Si-OH to Si-OH crosslinked to form Si-O-Si linkage at 600 ℃. In contrast, by annealing at 800 ℃, the film carbon content is reduced significantly, from 17 at. % to 6 at. %, and the film density is increased from 1.5 g/cm3 to 2.0 g/cm3. A high shrinkage of ~25% was also observed from the 800 oC anneal, indicating a significant densification of the film with carbon removal. Direct hydrogen plasma treatment on the film was also studied. The film k value reduced from around 6 to < 4. The film develops a dense surface layer with higher WER, between 10-40 Å. However, WER of the bulk film remains unchanged compared to as-deposited film. Corresponding to this observation, the film surface carbon is reduced, but the bulk film carbon content is kept constant. FTIR shows Si-OH decrease, Si-O-Si increase, and Si-H increase, indicating crosslinking of Si-OH bonds to form Si-O-Si network and generation of Si-H bonds during H2 plasma treatment. The hydrogen plasma treatment forms a densified SiO2 layer with removal of carbon near surface region and protecting the bulk film from carbon depletion.

[1] M. Wang, et al. ALD 2017

AF2-MoP-9 DFT Study on Atomic Layer Deposition of Al2O3 with Various Oxidants
Seunggi Seo, Taewook Nam (Yonsei University, Republic of Korea); Han-Bo-Ram Lee (Incheon National University, Republic of Korea); Bonggeun Shong (Hongik University, Republic of Korea); Hyungjun Kim (Yonsei University, Republic of Korea)

Atomic layer deposition (ALD) is a vapor phase thin film deposition technique, which enables deposition of thin films with high material quality, good uniformity, high conformality, and sub-nanometer thickness controllability. Therefore, ALD has been regarded as one of the most suitable deposition technologies for semiconductor device fabrication. Since ALD is based on sequential self-limited reactions on surfaces, understanding the surface chemical reaction mechanism is crucial for development of ALD process.

ALD of Al2O3 has been widely investigated owing to its wide ALD temperature window, high vapor pressure of Al precursors such as trimethylaluminum (TMA), and wide applicability of Al2O3. It is known that the reaction between surface adsorbed precursors and reactants, and the resulting material properties of deposited Al2O3, are affected by the type of the oxidant. However, relatively small research effort has been focused on the chemical reaction mechanisms of each oxidants during ALD.

In this study, we investigate the reaction mechanism of various oxidants such as H2O, H2O2 and O3 during ALD of Al2O3 with TMA. Density functional theory (DFT) calculations at B97D3/6-311+G* level of theory were performed using Gaussian 09 suite of programs. Our results show that the methyl groups adsorbed on the surface can be oxidized into hydroxyls with all considered oxidants with ease. The number of oxidant molecules required for the reaction is one for H2O, and two for O3 or H2O2. According to the activation energy of the considered reactions, it is suggested that O3 is the most reactive oxidant for Al2O3 ALD with TMA.

AF2-MoP-10 Effect of Heteroleptic Structure on Atomic Layer Deposited HfO2 Using Hf(N(CH3)2)4 and CpHf(N(CH3)2)3 Precursors
Sung Min Park, Bo-Eun Park, Sanghun Lee, Hwi Yoon (Yonsei University, Republic of Korea); Min Young Lee, Soo-Hyun Kim (Yeungnam University, Republic of Korea); Hyungjun Kim (Yonsei University, Republic of Korea)

With scaling down of complementary metal-oxide semiconductor (CMOS), atomic layer deposition of HfO2 is a key technology for ultra-thin and high-kgate dielectrics. To obtain high-quality HfO2 and good devices performances, various Hf precursors, such as Hf halides, alkylamides, and alkoxides, have been employed. However, these precursors have clear limitations such as low reactivity of halides and alkoxides and poor thermal stability of alkylamids. Recently, heteroleptic precursors have been investigated as alternatives to the existing homoleptic precursors. Among them, partial substitution with a cyclopentadiene (Cp)-based ligand has been reported to control volatility and thermal stability of the precursor. Despite of the promising usages, there is still lack of systematic studies on the film properties associated with growth characteristics of ALD HfO2 using Cp-containing precursors.This could be due to the complexity of the Cp-containing precursors, which makes difficult to conduct theoretical studies to support the growth mechanism.

In this study, we investigated the effects of substituting Cp ligands for high-kproperties of ALD HfO2 by using Hf(N(CH3)2)4 and CpHf(N(CH3)2)3. The Cp ligand improved the thermal stability of precursor to withstand thermal decomposition up to 350 °C, but decreased the saturated GPC in the ALD window. The growth characteristics were discussed with the theoretical calculations utilizing geometrical information on the precursor and density functional theory. In addition, we analyzed the chemical composition such as C impurities and oxygen vacancies through XPS and the microstructure such as crystallinity, density, and interlayer through XRD, XRR and TEM. These results were comparatively studied in relation to the electrical properties of ALD HfO2. This study can provide researchers with a broad insight to select proper precursor for the fabrication of high quality dielectric layer in future nanoscale devices.


View Supplemental Document (pdf)
AF2-MoP-11 Effect of Co-Reactant on the Atomic Layer Deposition of Copper Oxide
Jason Avila, Neeraj Nepal, Virginia Wheeler (U.S. Naval Research Laboratory)

Atomic layer deposition (ALD) of copper oxide presents a powerful opportunity to grow p-type semiconductor material for a wide variety of applications such as transparent conducting oxide, solar fuels catalysis, and power devices. There are, however, very few ALD processes to facilitate the growth of copper oxide. Cu(II) bis(dmiethylamino-2-propoxide) (Cudmap) has previously been used to grow copper metal using a reducing source such as tertiary butyl hydrazine.1,2 Cudmap has also been demonstrated to grow Cu2O using water as a co-reactant, self-reducing from Cu(II) to Cu(I) in the presence of water.3 This study will examine the effect of ALD co-reactants, ozone and water, on the copper oxidation state of copper oxide films grown using Cudmap.

Copper oxide films were grown in a Veeco Savannah ALD reactor using Cudmap and ozone or water at 150 °C on Si and c-plane sapphire. This is the first experimental demonstration of CuO films using Cudmap and ozone. Using ozone, a growth rate of 0.18 Å/cycle was achieved at 150 °C, far higher than the measured growth rate of 0.04 Å/cycle when growing with water. Additionally, XPS was able to confirm only the Cu(II) oxidation state with a Cu/O ratio of 1 verifying CuO films. For comparison, films grown with water show the presence of only Cu(I) oxidation state and have a nearly stoichiometric with a Cu/O ratio of 2:1. AFM also indicated uniform film growth as low as 2 nm independent of co-reactant, with CuO films grown with ozone being rougher than CuxO films grown with water. Initial optical and electrical properties of the films will be examined for p-type semiconductor applications.

References

(1) Väyrynen, K.; Mizohata, K.; Räisänen, J.; Peeters, D.; Devi, A.; Ritala, M.; Leskelä, M. , , 6502.

(2) Kalutarage, L. C.; Clendenning, S. B.; Winter, C. H. , , 3731.

(3) Avila, J. R.; Peters, A. W.; Li, Z.; Ortuno, M. A.; Martinson, a. B. F.; Cramer, C. J.; Hupp, J. T.; Farha, O. , , 5790.

AF2-MoP-12 A Systematic Study on Atomic Layer Deposition of ZrO2 Thin Films
Xin Wang, Jiyu Cai, Xiangbo Meng (University of Arkansas)

Zirconium oxide (ZrO2) is an attractive material with many applications because of its excellent mechanical, thermal, optical, and electrical characteristics 1-3. ZrO2 can present three crystalline structures, i.e., monoclinic (below 1170 °C), tetragonal (1170-2370 °C), and cubic (above 2370 °C) 1. To synthesize ZrO2 nanomaterials, there have to date many methods developed. ALD is a unique thin-film technique, featuring its tremendous capabilities for depositing conformal and uniform thin films with the atomic preciseness 4, 5. Using Tetrakis(dimethylamido)zirconium and water as precursors, previous studies 6, 7 have deposited ZrO2 on carbon substrates in the range of 100 – 250 oC. However, these studies have not fully investigated the growth mechanism and film characteristics of the ALD ZrO2. Applying in situ quartz crystal microbalance (QCM), in this study we optimized growth parameters and then further studied the growth characteristics in the range of 50 – 275 oC. We found that the growth rate of the ALD ZrO2 decreases with increasing temperature in the range of 50 – 225 oC, but the growth of the ALD ZrO2 at 250 and 275 oC terminated after the first several ten cycles. Furthermore, we applied synchrotron-based techniques to study crystallinity and film thickness of the ALD ZrO2 deposited at different temperatures, including X-ray diffraction and X-ray reflectivity. In addition, we studied the films’ composition using X-ray photoelectron spectroscopy, observed the films’ morphology using scanning electron microscopy, and analyzed the films’ structure using transmission electron microscopy. These studies provided us an integral understanding on the growth mechanism and films’ characteristics of ALD ZrO2.

1. O. S. Abd El-Ghany and A. H. Sherief, Future Dental Journal, 2016, 2, 55-64.

2. S. Kouva, K. Honkala, L. Lefferts and J. Kanervo, Catalysis Science & Technology, 2015, 5, 3473-3490.

3. L. Yin, Y. Nakanishi, A.-R. Alao, X.-F. Song, J. Abduo and Y. Zhang, Procedia CIRP, 2017, 65, 284-290.

4. X. Meng, X. Wang, D. Geng, C. Ozgit-Akgun, N. Schneider and J. W. Elam, Materials Horizons, 2017, 4, 133-154.

5. X. Meng, X.-Q. Yang and X. Sun, Adv Mater, 2012, 24, 3589-3615.

6. J. Liu, X. B. Meng, M. N. Banis, M. Cai, R. Y. Li and X. L. Sun, J Phys Chem C, 2012, 116, 14656-14664.

7. J. Liu, X. B. Meng, Y. H. Hu, D. S. Geng, M. N. Banis, M. Cai, R. Y. Li and X. L. Sun, Carbon, 2013, 52, 74-82.
AF2-MoP-13 Hydrophobic SiOx Thin Film Deposition using Low-Temperature Atomic Layer Deposition
Taewook Nam, Hyungjun Kim (Yonsei University, Republic of Korea)

A hydrophobic coating has been widely used in various applications from passivation coating on electronics to medical or even pharmaceutical devices. In many applications, organic material coatings such as fluorocarbon or hydrocarbon compounds have been used for hydrophobic coating due to their low material cost, simple coating process, and chemical stability. However, organic coatings have several disadvantages in practical applications, chiefly their inherently poor mechanical durability and thermal stability. Hydrophobicity was also found in a few inorganic metal oxides. However, hydrophobicity using inorganic metal oxide was not retained after high-temperature annealing or UV exposure because of the generation of the surface hydroxyl group. To overcome these problems, hydrophobic coating using rare-earth oxide (REO) was reported. Although its superior thermal and chemical stability, however, REO is expensive because of its scarcity and has some deleterious effects on the human body. In addition, the relatively high process temperature is an obstacle for coating on a thermally fragile substrate, such as fabric or polymer substrate. Therefore, it is highly required to fabricate a hydrophobic surface with low cost and safety material at low temperature.

Silicon oxide (SiOx) is a well-known material in semiconductor industries. Since it can be easily formed by using vapor deposition, exhibiting good chemical, mechanical, and electrical properties, it has been greatly investigated for various applications. SiOx is inherently hydrophilic material because of the presence of silanol (Si-OH) groups on the surface. Therefore, it is hard to make a hydrophobic surface of SiOx without surface treatment or functionalization.

In this study, hydrophobic ALD SiOx was obtained at the low growth temperature without any post-treatment. The water contact angle of ALD SiOx grown at 50 °C is 94 °. However, when the growth temperature is 100 and 150 °C, the water contact angles were decreased to 74 and 53 °, respectively. This hydrophobic characteristic of ALD SiOx was retained after the annealing at 300 °C. To analyze this phenomenon, various analysis including XPS and AFM had proceeded. To obtain superhydrophobicity, ALD SiOx was coated on the silicon nanowire (SiNW) at 50 °C. On SiOx-coated SiNW, superhydrophobicity is observed for water, blood, and 10 wt% ethanol solution. Owing to its low process temperature, hydrophobic SiOx can be also coated on the thermally fragile cloth, cotton or spandex, for instance, enhancing the waterproof characteristics. The detailed experimentation and origin of hydrophobicity of low-temperature ALD SiOx will be discussed.

AF2-MoP-14 Characteristics of High-temperature ALD SiO2 Thin Films Using a Si Precursor with Excellent Thermal Stability
Jae-Seok An, Jong-Ryul Park, Min-Hyuk Nim (Hansol Chemical, Republic of Korea); Yewon Kim, Jiyeon Gu, Seongyoon Kim (Sejong University, Republic of Korea); Jang-Hyeon Seok, Jung-Woo Park (Hansol Chemical, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

In recent years, technologies for stacking semiconductor devices in three dimensions have been introduced as a method for overcoming the limitations of the two-dimensional scaling of devices. Thus, there is an increasing interest in atomic layer deposition (ALD) which can deposit thin films with excellent conformality in high-aspect-ratio three-dimensional patterns. In particular, SiO2 and SiN thin films used as tunneling oxide, trap layer, and blocking oxide in 3-dimensional vertical NAND devices must have excellent step coverage in channel hole as well as good physical and electrical characteristics. In the conventional ALD processes of silicon oxide, the physical and electrical properties of the deposited thin film are improved as the deposition temperature increases, however, at high temperatures above 500°C, the thermal decomposition the Si precursor occurs, resulting in poor step coverage and film properties [1]. In the present work, we developed an ALD process using a Si precursor with excellent thermal stability, which does not cause a step coverage degradation due to thermal decomposition up to 750°C. The thermal decomposition of the Si precursor was evaluated by examining the growth rate change with the feeding time of Si precursor at 600°C or higher temperatures. The step coverage, composition, density, and leakage current of silicon oxide films deposited at different temperatures were investigated and compared with thermal oxide. The effects of the oxidizing agent on the deposition kinetics and the film properties were also investigated and discussed.

References [1] S.-W. Lee et al., Electrochem. Solid-State Lett., 11, G23 (2008).

AF2-MoP-15 Developing Routes Toward Atomic Layer Deposition of Tungsten using Fluorine-Free W Precursor and Various Reactants with Density Functional Theory
Tae Hyun Kim, Dip K. Nandi, Min Young Lee (Yeungnam University, Republic of Korea); Romel Hidayat, Seongyoon Kim, Won-Jun Lee (Sejong University, Republic of Korea); Soo-Hyun Kim (Yeungnam University, Republic of Korea)
The W-ALD process using WF6 is applied to the fabrication of the nucleation layer for W-plug and W gate or bit line in the current semiconductor device manufacturing. However, the highly corrosive nature of the F contained in the precursor, damages the underlying oxide and metal film, and degrade the electrical characteristics and reliability of the device. Therefore, it is necessary to develop an ALD process with F-free W (FFW) precursor. In this study, EtCpW(CO)3H is selected as a FFW metal-organic precursor, while suitable reactants (reducing agents) among various ones, molecular H2, H2 plasma (which provides highly reactive H radical), trimethyl aluminum triethyl aluminum, TBH (tert-butyl hydrazine), diethylamineborane (DEAB), dimethylamineborane (DMAB) NH3 etc. are adopted based on the density functional theory (DFT) calculation. Following the DFT predictions, successful ALD-W films are prepared using the reducing agents diethylamineborane (DEAB) and H2 plasma at a deposition temperature of 325°C. The growth rate observed using DEAB reactant is ~1.3Å /cycle. On the other hand, H2 plasma, as a reactant, offers relatively lower growth rate of ~ 0.4 Å/cycle. The crystalline and amorphous phase of the as-deposited W films are confirmed using X-ray diffraction (XRD) for H2 plasma and DEAB, respectively, Furthermore, the XRD reveals a mix-phase of β-W and tungsten carbide (WC) for the films grown by H2 plasma and the X-ray photoelectron spectroscopy analyses confirm considerable impurities (Boron, Carbon, Nitrogen, Oxygen) in the films grown by DEAB. However, a post-annealing could further improve the properties of these films. View Supplemental Document (pdf)
AF2-MoP-16 ALD HfO2 with Anhydrous H2O2 in a 300 mm Cross-flow Reactor – Comparison with H2O and O3 Oxidants
Steven Consiglio, Robert Clark, Cory Wajda, Gert Leusink (TEL Technology Center, America, LLC)

HfO2-based dielectrics deposited by ALD have been utilized in CMOS manufacturing since the 45 nm node [1]. In addition to applications of ALD HfO2-based dielectrics in CMOS and DRAM, the recent discovery of ferroelectricity in HfO2-based dielectrics [2] shows promise for applications in emerging non-volatile memory [3] and neuromorphic computing [4]. Thus, improving and modifying the growth of ALD HfO2 is of significant industrial interest.

For ALD growth of HfO2, H2O and O3 are the most commonly used oxidants. The drawbacks of H2O include low oxidative reactivity and strong adsorption to surfaces in the deposition chamber which requires long purge times. Although the use of the strong oxidant O3 in ALD typically uses reduced cycle times compared to H2O, O3 exposure can lead to unwanted oxidation of the underlying substrate which can significantly impact final device properties. In this regard, H2O2, which has an oxidation potential greater than H2O but less than O3, is an attractive candidate as an alternative oxidant for ALD growth of metal oxides.

In this study we evaluated a source for anhydrous H2O2 delivery which overcomes some of the drawbacks of H2O2/H2O solutions, which have a low concentration of H2O2 in the vapor phase. The novel source and delivery system (RASIRC® BRUTETM Peroxide) consists of > 99% H2O2 dissolved in non-volatile solvent passed through a tubular membrane which is selective to H2O2.[5-7]

By optimizing the hardware and delivery setup to minimize vapor phase H2O2 decomposition and depletion effects, we were able to demonstrate uniform ALD HfO2 growth across a 300 mm wafer in a cross-flow deposition chamber. In order to compare the performance of H2O2 with the other commonly used oxidants, we compared ALD HfO2 growth with well-established processes using H2O and O3 [8]. Dose dependence of H2O2 was investigated to determine reactant saturation. Using a saturated H2O2 pulse we obtained > 50% increase in growth-per-cycle compared to both H2O and O3 while also significantly improving within-wafer-uniformity. Further optimization of purge times and carrier Ar flow rate achieved a reduced cycle time for H2O2 process which was > 50% less than the cycle time required for H2O process and approaching the optimized cycle time for the O3 process.

References

1) M. T. Bohr et al., IEEE Spectr. 44, 29 (2007)

2) T. S. Böscke et al., Appl. Phys. Lett.99, 102903 (2011)

3) T. Mikolajick et al., MRS Bull. 43, 340 (2018)

4) H. Mulaosmanovic et al. Nanoscale10, 21755 (2018)

5) D. Alvarez, Jr. et al., ALD 2014

6) D. Alvarez, Jr. et al., AVS 2015

7) www.rasirc.com/product-brute-peroxide.html

8) R. D. Clark et al., ECS Trans. 16(4), 291 (2008)
AF2-MoP-17 Atomic Layer Deposition of Copper (I) Chloride using Liquid 1-Chlorobutane Precursor
Richard Krumpolec, David Cameron, Dominik Bača, Josef Humlíček, Ondřej Caha (Masaryk University, Czech Republic)

Zinc blende-structure γ-copper (I) chloride is a wide, direct bandgap semiconductor with the potential for applications in UV optoelectronics. Atomic layer deposition has previously been applied to deposition of copper chloride CuCl thin films and nanocrystallites [1,2]. The ALD-like process was reported using solid precursors [Bis(trimethylsilyl)acetylene]-(hexafluoroacetylacetonato)copper(I) and Pyridine HCl [3]. In this paper, we worked with anhydrous 1-Chlorobutane as a Cl precursor for deposition of CuCl thin films. The advantage of this liquid precursor is high vapour pressure enabling short pulsing times. The CuCl films were deposited on crystalline silicon with different pretreatment protocols and also on flexible polyimide polymeric substrates. The structural, chemical, optical and photoluminescent properties of CuCl thin films were studied by SEM, XRD, AFM, XPS, optical reflectance and photoluminescence. Figure 1 shows the SEM images of a layer of CuCl crystallites on a silicon substrate cleaned by RCA protocol and deposited using liquid 1-Chlorobutane. The deposition using a liquid 1-chlorobutane precursor is compared to the process using previously reported solid Pyridine hydrochloride precursor.

Figure 1: SEM images of a layer of CuCl crystallites on a silicon substrate cleaned by RCA protocol.

References:

[1] G. Natarajan, P.S. Maydannik, D.C. Cameron, I. Akopyan, B. V. Novikov, Atomic layer deposition of CuCl nanoparticles, Appl. Phys. Lett. 97 (2010) 241905. doi:https://doi.org/10.1063/1.3525929.

[2] P.S. Maydannik, G. Natarajan, D.C. Cameron, Atomic layer deposition of nanocrystallite arrays of copper(I) chloride for optoelectronic structures, J. Mater. Sci. Mater. Electron. 28 (2017) 11695–11701. doi:10.1007/s10854-017-6973-8.

[3] R. Krumpolec, T. Homola, D. Cameron, J. Humlíček, O. Caha, K. Kuldová, et al., Structural and Optical Properties of Luminescent Copper(I) Chloride Thin Films Deposited by Sequentially Pulsed Chemical Vapour Deposition, Coatings. 8 (2018) 369. doi:10.3390/coatings8100369.

View Supplemental Document (pdf)
AF2-MoP-18 Number Effect of Si Atoms Contained in Precursor for SiN Atomic Layer Deposition
Seungbae Park, Huiwang Ji, Hanyong Yang, Sangwoong Yoon (DUKSAN Techopia company, Republic of Korea); In-Sung Park (Hanyang University, Republic of Korea)

Silicon nitride (SiN) films have been widely applied to the in solid-state devices as functional and process layers. The examples include charge trap layer in flash memory, gate dielectric layer in thin film transistors. gate spacer in FinFET transistor, etch stop layer in CMP, and capping layers in interconnection. The requirements of thin and smooth film, its uniform thickness and composition distribution, and high conformal coating on complicated structure have allowed ALD method to be widely introduced to make SiN films. In SiN-ALD process, the selection of Si precursor is significant because of the variability of film characteristics such as growth rate and material/dielectric/electrical properties. In this work, the number of Si atoms in precursor has focused on fabricating SiN-ALD films to investigate its linkage to growth and materials properties of SiN films.

Three precursors of SiCl4, Si2Cl6, and Si3Cl8 were used as Si source for ALD for the model materials with the 1, 2, and 3 Si atoms. The ALD-SiN were performed at plasma system with NH3 reactant. For the growth rate of SiN, Si2Cl6 has the highest value of 1.44 A/cycle at the deposition temperature of 400 C. The Si : N ratio of all SiN films was analyzed by using XPS measurement, was the same with 1 : 1.16 near the surface, and kept constant with depth. The contamination of Cl and C was under the limit of XPS resolution. With the increase of Si number in precursor, the oxygen content in SiN was apparently reduced. The increased Si number make the SiN film much dense, and hence their wet etch rate against diluted HF solution was reduced from 0.55 A/sec to 0.36 A/sec for SiCl4 to Si3Cl8. The SiN film properties compared with Si numbers in precursor presented in this work will be useful for the fast and robust film formation.
Session Abstract Book
(392KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule