ALD2018 Session AF2-MoA: Mechanism and Surface Science

Monday, July 30, 2018 4:00 PM in Room 107-109

Monday Afternoon

Session Abstract Book
(297KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
4:00 PM AF2-MoA-11 Different Growth Mechanism of SiO2 Layer on Various High-k films by PE-ALD using Tris(dimethylamino)silane and Oxygen Plasma
Toshihide Nabatame, Mari Inoue (National Institute for Materials Science, Japan); Makoto Takahashi, Kazuhiro Ito (Osaka University, Japan); Naoki Ikeda, Akihiko Ohi (National Institute for Materials Science, Japan)

GaN power devices with metal-oxide-semiconductor (MOS) structure have been widely investigated to suppress the leakage current properties. Various silicate materials such as HfSiOx and AlSiOx have been characterized as gate insulator. To fabricate silicate films, a HfO2/SiO2 laminate was generally deposited by atomic layer deposition (ALD). The SiO2 films grown by ALD using Tris(dimethylamino)silane (TDMAS) precursor and ozone oxidant gas had high-quality as gate insulator [1]. However, the growth mechanisms of the SiO2 film on various High-k materials have not been fully understood. In this study, we systematically investigate growth rate of SiO2 layer on various High-k layer by plasma-enhanced ALD (PE-ALD) using TDMAS precursor and oxygen plasma gas, and also discuss about different growth rate.

The SiO2 films were deposited on SiO2, HfO2, Al2O3, and TiO2 films/Si substrates by PE-ALD at 300 degree C. The HfO2/SiO2 laminate films were also grown by PE-ALD at 300 degree C with Tetrakis(dimethylamino)hafnium and TDMAS precursors. The various composition ratios (Hf/Si = 3/1, 2/1, 1/1, 1/2, and 1/3) of the HfO2/SiO2 laminate films were prepared by changing each number of ALD cycle.

The growth per cycle (GPC) of the HfO2 and SiO2 films on SiO2/Si substrates were found to be 0.083 and 0.038 nm/cycle, respectively, from the relationship between the number of ALD cycles and the film thickness. On the other hand, the experimental data of the HfO2/SiO2 laminate thickness as a function of the number of ALD cycle satisfied a linear relationship regardless of Hf/Si composition ratio. The GPC was 0.082 nm/cycle. Considering to the GPC of the HfO2 (0.083 nm/cycle), the estimated GPC of a SiO2 layer was 0.081 nm/cycle, which was unexpected, but increased by about 2 times compared to a single SiO2 film. To recognize the different GPC of the SiO2 layer between HfO2/SiO2 laminate and a single SiO2 films, the GPCs of the SiO2 films on SiO2/Si and HfO2/Si substrates were examined up to 10 cycles. Noted that the GPC of the SiO2 films on HfO2/Si substrate was much higher than that on SiO2/Si substrate. Furthermore, the Hf content of HfO2/SiO2 laminate film with Hf/Si=1/3 shifted to the Hf rich side from the relationship between the designed Hf/Si composition ratio and the estimated Hf/Si ratio of the deposited film using TEM and EDS analysis. These suggest that an initial adsorption of TDMAS precursor on the surface of SiO2 and HfO2 films must be different and strongly affects to the GPC.

Reference

[1] L. Han, and Z. Chen, ECS J. of Solid Sate Sci. and Technol., 2 N228 (2013).

View Supplemental Document (pdf)
4:15 PM AF2-MoA-12 In-situ Surface Science Studies of Atomic Layer Processes of GaN Surfaces in Preparation for Atomic Layer Epitaxial Growth
Samantha Rosenberg (U.S. Naval Research Laboratory); Daniel Pennachio (UCSB); Max Munger (SUNY College at Brockport); Christa Wagenbach (Boston University); Virginia Anderson (Kennesaw State University); Scooter Johnson, Neeraj Nepal, Alexander Kozen, Jeffrey Woodward (U.S. Naval Research Laboratory); Zachary Robinson (SUNY College at Brockport); Jennifer Hite (U.S. Naval Research Laboratory); Karl F. Ludwig (Boston University); Chris Palmstrøm (UCSB); Charles R. Eddy, Jr. (U.S. Naval Research Laboratory)

We have previously shown that using our low temperature plasma-assisted atomic layer epitaxy (ALEp) method we can grow AlN and InN for various applications.1-3 The materials we have grown using our ALEp method have shown good crystalline quality, but suffer from an incorporation of carbon. Theory has led us to believe that the substrate preparation plays a significant role in the remediation of carbon, as that surface becomes the interface for the growth of the III-N film. Therefore, using surface science techniques, we strive to develop not only a fundamental understanding of the ALEp growth process but also atomic layer processes that will result the best cleaning method for a pristine starting surface for ALEp.

Here we employ in-situ surface studies of in-situ and ex-situ GaN substrate preparation and InN ALEp growth to advance fundamental understanding of the ALEp process. We conduct in-situ grazing incidence small angle x-ray scattering (GISAXS) experiments at the Cornell High Energy Synchrotron Source utilizing morphological evolution monitoring to investigate the growth surface during sample preparation, a gallium-flash-off atomic layer process (ALP) at varying temperatures, and film deposition. GISAXS information is complemented with in-vacuo x-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and ex-situ atomic force microscopy studies conducted at the Palmstrøm Lab at UCSB, where we consider different ex-situ sample preparation methods in contrast to our standard preparation method as a way to produce the most suitable GaN surface for our ALP/ALEp-based approach. We have been able to determine with GISAXS that ALP-based gallium-flash-off experiments performed at higher temperatures (500°C) produce a smoother starting surface than lower temperatures. Additionally we have determined that we should only perform ~10 cycles instead of 30 as was empirically chosen previously. We have also been able to observe repeating cycles in our ALEp process with GISAXS, leading us to insights on the underlying chemical process of the gallium-flash-off ALP. With the Palmstrøm Lab at UCSB, we have determined with in-vacuo XPS and ex-situ AFM that a combination of UV/ozone exposure followed by an HF dip produces the cleanest and smoothest GaN surface. Combining these two results, ex-situ and in-situ cleaning preparation, should lead us to the best GaN starting surface to grow high quality crystalline III-N materials.

[1] N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013)

[2] C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), 058501 (2013)

[3] R. S. Pengelly, et al., IEEE Trans. Microwave Theory Tech. 60, 1764 (2012)

View Supplemental Document (pdf)
4:30 PM AF2-MoA-13 Surface Chemistry during Atomic Layer Deposition of Zn(O,S)
Bonggeun Shong (Hongik University, Republic of Korea)

Ternary compound zinc oxysulfide (Zn(O,S)) is a semiconductor whose band gap is tunable with the composition. Thin films of Zn(O,S) can be deposited with atomic layer deposition (ALD) using diethylzinc (Zn(C2H5)2) and mixed pulses of H2O and H2S as O and S sources, with an advantage of tunable O to S atomic ratio. However, it is known that the fraction of S incorporated in the ALD Zn(O,S) thin films is higher than its ratio in the reactant pulses [1]. Recently, the reason for such growth behavior has been suggested as exchange reactions between *ZnOH and H2S to yield *ZnSH at the surface of the growing film [2]. In this work, the surface chemical reactions involved in ALD of Zn(O,S) is investigated using density functional theory (DFT) calculations. It is observed that while ALD reactions of both ZnO and ZnS would be facile, the reactions involving H2S are both kinetically and thermodynamically favored over those with H2O. As a result, surface *ZnOH can be replaced by H2S, but reaction of *ZnSH with H2O is less likely. Our results confirm the chemical mechanism governing the composition of ALD Zn(O,S), and suggest factors needs to be considered in ALD of ternary compounds.

[1] Bakke et al., JVSTA 2012

[2] Lancaster et al., JPCC 2017

4:45 PM AF2-MoA-14 Surface Reaction Mechanism of Atomic Layer Deposited Metal on Organic Textiles
Jong Seo Park (Yonsei University, Republic of Korea); Han-Bo-Ram Lee (Incheon National University, Republic of Korea)

Electronic textiles (e-textiles) are electrically conductive textiles which are potentially important for future wearable electronic systems. Recently, we reported that atomic layer deposition (ALD) could be a good route to functionalize conventional non-conductive textiles to conductive textiles just by depositing Pt on Cotton without any damage. In this work, we deposited Pt via ALD on various thermally weak textiles, such as Cotton, Silk, Nomex, Nylon, Wool and Kevlar fibers. Pt was successfully deposited on Cotton, Silk, Nomex, Wool, Kevlar fibers except Nylon fibers. We investigated the growth characteristics and surface reactions mechanism of ALD Pt on various textiles by using different techniques, such as X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and density functional theory (DFT) calculation. Pt ALD on the various textiles seems to be hard since it has rare reactive sites of ALD reaction such as surface hydroxyl group. From results of XPS and DFT calculation, it was found that Pt precursor molecules directly react with chemical species of the reactive sites of Cotton and Silk fibers, while Nylon fibers did not react with Pt precursor molecules. The activation energies for the reactions of the Pt precursor with cellulose of Cotton (26.3 kcal/mol for –OH) or Silk fibroin (19.5 kcal/mol for –OH, 26.1 kcal/mol for –NH) are smaller compared to that with Nylon 66 (36.9 kcal/mol). Therefore, the reactivity of Nylon toward adsorption of HDMP is considerably smaller than those of Cotton and Silk. Thus, we found that ALD Pt layer forms with very high durability on surface of Cotton and Silk fibers through organic-inorganic hybridization. We believe that the functionalized flexible textiles which were obtained by Pt ALD are promising candidates for various wearable and smart fabric industries as a new platform for future textile electronics.

5:00 PM AF2-MoA-15 Insight in Surface Dependence and Diffusion-mediated Nucleation Mechanism of Ruthenium Atomic Layer Deposition on Dielectrics
Job Soethoudt (KU Leuven, Belgium); Yoann Tomczak (IMEC, Belgium); Fabio Grillo, Ruud Van Ommen (Delft University of Technology, Netherlands); Efrain Altamirano Sanchez (IMEC, Belgium); Annelies Delabie (KU Leuven, Belgium)

Area -Selective Deposition (ASD) is of interest for a variety of applications including bottom-up patterning for nano-electronic device fabrication, yet its industrial implementation has been limited by unwanted nucleation on the non-growth surface. ASD of Ruthenium is of interest for bottom-up patterning, as a thin Ru hardmask is not attacked by etchants typically used to pattern dielectrics or TiN hardmasks (eg. CxFy, NF3, BCl3). In order to control and reduce defectivity in Ru ASD, the surface dependence and nucleation mechanisms of Ru Atomic Layer Deposition (ALD) need to be understood.

We have investigated the nucleation mechanism of (ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)ruthenium (EBECHRu) and O2 ALD at 325ºC on different dielectric surfaces. The Ru nucleation behaviour is strongly affected by the dielectric surface, and is governed by adsorption, diffusive aggregation and coalescence. Precursor chemisorption proceeds most rapidly on -OH terminated dielectrics, followed by Si-O-Si bridges and finally Si-CH3 terminated organosilicate glass (Figure 1). On hydrophilic and hydrophobic SiO2 the average nucleus is significantly larger compared to values predicted from the inherent deposition rate on existing Ru nuclei (0.03nm/cycle), indicating Ru species aggregate through surface diffusion during nucleation resulting in an island growth mode (Figure 2a, b, c). Less reactive surfaces not only result in fewer, larger nuclei because of the reduced adsorption rate on the substrate, but the nucleus size distribution also becomes more polydisperse because surface diffusion promotes aggregation (Figure 2d). The nucleation behaviour on organosilicate glass is explained through a growth model [1, 2] which takes into account the impact of diffusive aggregation on the nucleus size distribution (Figure 3). The experimental results are best described if the Ru precursor adsorption initially occurs only on the organosilicate glass substrate, and the Ru nuclei are active towards precursor chemisorption only after reaching a critical size (~0.85 nm). This result is consistent with the notion that catalytic decomposition of the ligands by oxygen requires a minimum Ru island size. The initial stages of growth are therefore dominated by the diffusive aggregation of Ru nuclei rather than direct Ru growth on the islands. These findings provide new fundamental insight in the nucleation mechanism of Ru ALD. Moreover, the impact of surface diffusion may be relevant for ASD as surface diffusion could mitigate defectivity caused by nucleation on dielectrics.

[1] F. Grillo et al., J. Phys. Chem. Lett. 8, 975-983 (2017).

[2] F. Grillo et al., Catal. Today (2018)

View Supplemental Document (pdf)
5:15 PM AF2-MoA-16 Surface Oxidation Model in Plasma-enhanced ALD for Silicon Oxide Films Including Various Aminosilane Precursors
Kosuke Yamamoto, Ayuta Suzuki, Munehito Kagaya, Masaaki Matsukuma, Tsuyoshi Moriya (Tokyo Electron Technology Solutions Ltd., Japan)

Plasma-enhanced atomic layer deposition (PEALD) attracts much attention for semiconductor manufacturing processes because it permits both low process temperature and high film uniformity. Deposition of silicon oxide (SiOx) films is one of the most successful applications in PEALD. The conformal, uniform and low-temperature deposition of PEALD-SiOx thus enables self-aligned multiple patterning in high volume manufacturing. Based on this technical and industrial significance, many research groups have already discussed surface reaction mechanisms of PEALD-SiOx deposited by using aminosilanes and O2 plasma. However, previous researches mainly focused on the adsorption step of the silicon precursors and there are fewer studies published on the oxidation step. In this work, we therefore aim to clarify the surface oxidation mechanism of PEALD-SiOx processes by employing both experimental and computational approaches.

Firstly, we calculated the main chemical species present in an Ar/O2 plasma by using numerical simulation in order to narrow down the potential reactions between oxidants and surface functional groups. We determined that triplet oxygen atom (3O) and singlet oxygen molecule (1O2) are expected to be the main oxidants under our experimental condition. To compare the energy barriers for the specified reactions with 3O and 1O2, we analyzed oxidation pathways by using density functional theory (DFT) calculations, as shown in Figure 1. No energy barrier was observed in the 3O oxidation pathway in contrast with 1O2 oxidation, which has an energy barrier of 0.1~0.6 eV. These computational results support the conclusion that surface oxidation is dominated by 3O; in view of both the generation of oxidizing species and the energy barrier for oxidation. Subsequently, PEALD experiments were systematically examined with various aminosilanes, substrate temperatures (Tsubs) and oxidation times, as shown in Figure 2. We found that the number of amino ligands (R) on the precursors affected saturation trends with oxidation time. Moreover, a more rapid increase was observed with increasing substrate temperature for SiH3R precursors compared to the results for precursors which have more amino ligands. We have thus developed a surface oxidation model for explaining these experimental results considering just two reactions: Si-H bond oxidation and Si-R bond oxidation. Even with this simple reaction model, the differences in surface oxidation trends can be explained simply by considering the ratio of surface densities of Si-H and Si-R groups before the oxidation step.

View Supplemental Document (pdf)
5:30 PM AF2-MoA-17 Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 and HfO2 Films Investigated by using in situ Auger Electron Spectroscopy
Haiping Zhou, Yenchun Fu, Muhammad Mirza, Xu Li (University of Glasgow, UK)

Al2O3 and HfO2 are well-established high-k materials to replace SiO2 in transistor and capacitor applications. To grow high quality atomic layer deposition (ALD) films with high dielectric breakdown electric field and low leakage current, it is important to understand the impact of both plasma enhanced ALD (PEALD) using O2-plasma and thermal ALD using H2O on the interface between ALD film and substrate surface, and also the impact of the interface on the quality of ALD films.

We have studied the influence of both thermal and PEALD processes on the electrical properties of Al2O3 and HfO2 films, and found that both the Al2O3 and HfO2 films grown by the PEALD with O2-plasma have higher dielectric breakdown electric fields and lower leakage currents than that grown by the thermal ALD with H2O.

To further understand and optimize the ALD processes, we have used in situ Auger electron spectroscopy (AES) and high-resolution scanning Auger microscope (SAM) of a NanoSAM system, which is vacuum connected with an ALD chamber, to investigate the surface chemical compositions and distributions in the interface between the ALD Al2O3 and HfO2 films and Si, Au and Ti substrate surfaces without exposing the ALD films to air. We have found (a) for the Al2O3 films grown with H2O, the AES spectra show significant peaks of Al and O, but slight peak of C, which means the Al2O3 film deposited on Si, Au and Ti surfaces, but some C impurities remained unreacted in the film; (b) for the HfO2 films grown with H2O, the AES spectra show significant peaks of C and O, but very slight peak of Hf, which suggests that HfO2 film hardly deposited on Si, Au and Ti surfaces, but many C impurities remained unreacted in the film; (c) for both Al2O3 and HfO2 films grown with O2-plasma, the AES spectra show significant peaks of Al and O, and Hf and O, respectively, but no peak of C is observed within the detecting limit of the NanoSAM. This indicates the Al2O3 and HfO2 films deposited on Si, Au and Ti surfaces, high purity and free of carbon contamination from unreacted ligands when deposited at the optimized PEALD processes conditions.

The AES investigations of Al2O3 and HfO2 films are well in agreement with the studies on their electrical properties, and again confirm the superior qualities of the PEALD Al2O3 and HfO2 over the thermal ALD Al2O3 and HfO2.

Session Abstract Book
(297KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule