AVS 70 Session AP+EM+PS+TF-MoM: Area Selective Deposition (ASD) I

Monday, November 4, 2024 8:15 AM in Room 116
Monday Morning

Session Abstract Book
(349KB, Jul 23, 2024)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
8:15 AM Invited AP+EM+PS+TF-MoM-1 Unlocking the Atomic Canvas: Applications and Challenges of Area Selective Deposition in Next-Generation Memory Devices
Ebony Mays (Micron Technology)

The semiconductor industry is on the cusp of an AI-driven revolution, propelling scaling and density trends for integrated circuit devices. As we delve deeper into a 3D transformation in circuit architecture, we are driven to find solutions to memory and storage bottlenecks and capacity demands. This necessity is fueling a new wave of architectural, material, and process technology innovations that meet power, performance, and cost demands. The push to control material deposition and removal at the atomic scale over extremely high aspect ratios is more critical than ever. In this context, area selective deposition (ASD) emerges as a powerful tool to meet these evolving challenges. With the application of new materials at higher aspect ratios, we must continue to expand our toolbox with new precursor and deposition technologies for ASD. This talk will address some of the ensuing challenges and hurdles for the use of ASD in manufacturing applications for memory devices. It will also highlight opportunities for innovation and collaboration in these areas, emphasizing the need for future technology innovation. The future of the semiconductor industry hinges on our ability to innovate and collaborate in these critical areas.

8:45 AM AP+EM+PS+TF-MoM-3 Area-Selective Atomic Layer Deposition by Sputter Yield Amplification
Arthur de Jong, Manosch Bär, Marc Merkx, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology)

Area-selective deposition (ASD) is an emerging technique in device fabrication that can bypass lithography-based fabrication of certain layers in a device stack that require perfect alignment. The distinction between growth and non-growth areas is commonly attained through chemical differences, such as selective precursor or inhibitor adsorption [1]. To expand the ASD toolbox, this study explores a physical approach that integrates (non-selective) atomic layer deposition (ALD) with area-selective sputter etching by ions of the undesired material deposited on the non-growth area. Sputter yield amplification occurs if a non-growth area contains an element with significantly larger mass than the incoming ion [2]. When an ion collides with such atom, the momentum is more effectively redirected upward compared to a collision with a lighter atom. This enhanced redirection augments the energy transfer to the deposited material on the non-growth area, thereby increasing the likelihood of etching. Conversely, the growth area should lack heavy elements, resulting in a considerably lower etch rate of the film that is deposited on top.

Selective sputter etching was investigated for SiO2 and TiN films. On various substrates, a thin SiO2 overlayer deposited by ALD was exposed to low-energy Ar ions (33-50 eV). The amount of SiO2 removed depends significantly on the substrate (Al2O3, TiO2, Nb2O5, MoO3, HfO2, Ta2O5, and WO3 were investigated here). In general, the heavier the mass of the metal atoms in the substrate, the less SiO2 is observed after the ion exposure. TiN was selectively deposited on Al2O3 with respect to a HfO2 non-growth area by repeating supercycles of six TiN ALD cycles and Ar ion exposure from a plasma. Approximately 7.0 nm of selective growth is achieved on Al2O3 with only 0.15 nm on HfO2 (giving 96% selectivity). The key benefit of (selective) sputter etching lies in its relative insensitivity to temperature and ALD chemistry. This proof-of-concept shows that exploiting sputter yield amplification can enable ASD processes that are complementary to the existing chemical approaches.

[1] A. J. M. Mackus et al., Chemistry of Materials 31, 2 (2019).

[2] S. Berg et al., Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 10, 1592 (1992).

View Supplemental Document (pdf)
9:00 AM AP+EM+PS+TF-MoM-4 Effectiveness of SiO2 Functionalization with Methyl versus Silyl Groups to Enable Area-Selective Atomic Layer Deposition of Al2O3
Andrew Kaye (Colorado School of Mines); Sumit Agarwal (Colorado School of Mines, USA); Haripin Chandra, Ronald Pearlstein, Xinjian Lei, Agnes Derecskei (EMD Electronics, USA); Bhushan Zope (Intermolecular, Inc.)
Plasma-deposited SiO2 films are extensively used in semiconductor manufacturing. Area-selective atomic layer deposition (AS-ALD) of a dielectric, such as Al2O3, on a target surface with SiO2 as a non-growth surface, can simplify device processing. Gas-phase functionalization of SiO2 using aminosilanes as small molecule inhibitors has been previously reported. Most studies show that growth nucleation during ALD occurs on the functionalized SiO2 surface after just a few nanometers of deposition on the growth surface. It is speculated that nucleation on the SiO2 surface occurs due to the availability of unreacted surface –SiOH groups. We tested this hypothesis by functionalizing the SiO2 surfaces with different densities of surface –SiOH groups with two aminosilanes that offer a different degree of steric hindrance. Specifically, we functionalized of the plasma-deposited SiO2 surface with N,N-dimethylaminotrimethylsilane (DMATMS) and di-sec-butylaminosilane (DSBAS). In situ infrared spectroscopy shows that DMATMS and DSBAS react with isolated surface –SiOH groups resulting in –Si(CH3)3 and –SiH3 terminated surfaces, respectively. Due to steric hindrance from the bulky di-sec-butylamino ligand, ~50% of the surface –SiOH groups remained unreacted after functionalization of the as-deposited SiO2 surface: these –SiOH groups became available for reaction with dimethylaluminum isopropoxide (DMAI) during Al2O3 ALD. In contrast, in situ 4-wavelength ellipsometry shows that functionalization of the as-deposited SiO2 surface with DMATMS resulted in a nucleation delay of ~20 ALD cycles due to the much higher surface ligand coverage, which we attribute to a much smaller reactive leaving group. Next, we pre-annealed the as-deposited SiO2 films at 500 °C, which lowered the surface –SiOH density by ~72%. After annealing, both inhibitors had nearly equal surface coverage, and reacted with most of the available surface –SiOH groups. However, surface functionalization with DMATMS still provided a nucleation delay of ~20 ALD cycles, while DSBAS did not provide any nucleation delay. On the pre-annealed surface, both DMAI and H2O did not react with surface –SiH3 or –Si(CH3)3 groups. Instead, we show that DMAI can strongly absorb onto surface Si–O–Si sites to initiate film growth. Since DMATMS provides a higher degree of steric blocking due to the bulkier –Si(CH3)3 ligand, there is a still a nucleation delay on the pre-annealed SiO2 surface even though the surface density of the ligands is much lower compared to the as-deposited surface.
9:15 AM AP+EM+PS+TF-MoM-5 Progress Towards a New Class of Area Selective Deposition Using Photoassisted Chemical Vapor Deposition on Thermally Sensitive Substrates
Bishwa Das, Rashmi Rashmi (University of Florida); Bryan Salazar, Christopher Brewer (University of Texas at Dallas); Lisa McElwee-White (University of Florida); Amy Walker (University of Texas at Dallas)

Area selective deposition (ASD) has been successfully realized in microelectronics using high-temperature processes, but continued performance and scaling, new materials, and future device fabrication schemes require new low-temperature (<400 °C) ASD methods for metals, dielectrics and other films. In this talk we describe progress towards a new low (near room) temperature ASD method in which reactive functionalized self-assembled monolayers direct Ru film deposition using novel photoassisted chemical vapor deposition (PACVD) processes. The ideal precursor for photoassisted low temperature CVD is a volatile complex with a high quantum yield for ligand loss, which provides an empty coordination site for surface attachment. The surface-bound primary photoproduct then undergoes facile decomposition to the target material and for ASD selectively reacts with the functionalized SAM terminal groups. Our initial proof-of-concept studies employed (ƞ3-allyl)Ru(CO)3X (X = Cl, Br, I) precursors. Three SAMs were employed with –CH3, –OH or –COOH terminal groups. Under UV light using (ƞ3-allyl)Ru(CO)3Br we showed that Ru(0) and RuOx selectively deposits on –CH3 and –OH terminated SAMs but not on –COOH terminated SAMs. We attribute this behavior to the formation of Ru-carboxylate complexes which block deposition. We further show that using (ƞ3-allyl)Ru(CO)3X (X = Cl, I) precursors only lead to molecular deposition and DFT calculations indicate that this is not due to the primary photoprocess but the energy required to lose a second carbonyl. Most recently we have studied a series of (η4-diene)Ru(CO)3 (diene = butadiene, isoprene, 1,3-cyclohexadiene or cyclobutadiene) to further investigate the role of polyhapto carbon ligands and the metal oxidation state. We have already demonstrated that these complexes undergo photochemical loss of CO and/or the diene and that exhaustive photolysis of the complexes in solution leads to the formation of colloidal Ru. In agreement with our observations using (ƞ3-allyl)Ru(CO)3Br we show that the primary photoprocess and the metal oxidation state are not the most important reaction variable for Ru metal deposition but rather the energy required to lose further ligands. Further we show that Ru selectively deposits on –COOH terminated SAMs and not on –CH3 and –OH terminated SAMs.

9:30 AM AP+EM+PS+TF-MoM-6 A Stochastic Lattice Deposition Model for Visualization of ALD and Area-Selective Deposition of Metal Oxides
Nicholas Carroll, Gregory Parsons (North Carolina State University)

Area-selective deposition (ASD) is of interest to augment advanced lithographic patterning to achieve improved resolution and feature alignment. In addition to controlled substrate-dependent nucleation and growth, precise feature alignment will also require understanding and ability to adjust the lateral growth of ASD materials, for example, to control lateral “mushrooming”. Previous analytical models give insight into trends in thickness, selectivity, surface coverage, and areal nuclei density during ASD, but models capable of visualizing and analyzing lateral over-growth for various ASD processes are not as well known. In this work we describe a stochastic lattice deposition model capable of simulating atomic layer deposition (ALD) and ASD of metal oxide films with nucleation in a localized “growth” region and lateral over-growth of the film into an optional “non-growth” region. ALD cycles are simulated using a set of reactive sites (i.e. a hydroxyl group) that interact with an incoming precursor (i.e. trimethylaluminum) to produce randomly arranged metal and ligand sites on a 3D cubic grid lattice. The metal center binds to the oxygen site, and the remaining ligand groups are oriented adjacent to the metal center. The stoichiometry, density, and OH concentration in the resulting film can be tuned to experimentally reasonable values by implementing adjustments to the extent of packing and bridge-site reactivity during the ALD cycle. Using well-controlled ALD conditions, the model allows the extent of lateral growth over adjacent “non-growth” regions to be observed and quantified. To adjust the extent and shape of lateral growth, several parameters were considered, including enhanced attractive interactions between precursors and the non-growth substrate surface, localized substrate oxidation at the leading edge of the film, and others. We have also begun to use the model to consider the influence of non-planar substrate geometry in the extent of ASD. Results from model output will be compared to published experimental results, giving insights into possible physical and chemical mechanisms driving non-uniform ALD and ASD.

9:45 AM AP+EM+PS+TF-MoM-7 Selective Deposition of Low k SiCOH and Surface Sialylation Repair of Low K Dielectrics for Nano Cu Interconnects
Son Nguyen, H Shobha, A. Jog, Huai Huang, Brown Peethala, Juntao Li, James Demarest, Yiping Yao (IBM Research Division, Albany, NY)

In this paper, we demonstrate the integrated surface sialylation, low-k repair, and selective SiCOH deposition on 32 nm pitch Cu-SiCOH damascene structures to form a 5-6 nm raised SiCOH for the Fully Aligned Via (FAV) integration. Initially, the nominally damaged patterned SiCOH dielectric surface was repaired by UV sialylation process with carbonsiloxane to reduce the typical RIE patterning damage and cyclic selective deposition of Cobalt [4] by replenishing the surface carbon with UV/Thermal assisted carbonsilane precursor repair. The time-of-flight secondary ion mass spectrometry (ToF SIMS) analysis indicates nominal amount of carbon replenished on the SICOH surface (see Figure 2). This surface sialylation repair reduces the capacitance and significantly improves the TDDB as shown in Figure 1. FTIR analysis also indicates an increased Si-CH3 bonding in SiCOH surface after sialylation suggesting the replenishment of carbon on the SiCOH surface. After the initial one cycle SiCOH surface sialylation repair with Carbo-Siloxane precursor, additional selective SiC(O) films were deposited using multi step cyclic deposition and treatment processing steps as illustrated in Figure 3. The average selective deposition/H2 plasma treatment steps per each cycle is about 6A. Electrical measurement on blanket MIS wafers yields a k = 4.1 ± 0.1 for the selective SiCO layer which is 1.8 times lower compared to selectively deposited of AlOx with k ~ 7.5 for FAV applications [2-3]. Figure 4 shows the various analyses for sialylation and SiC(O) selective deposition on SiCOH_Co capped Cu patterned surface. Clearly, sialylation repair penetrates to SiCOH and selective growth of 6 nm SiC(O) after cyclic processing steps. Figure 5 shows representative image of 32/36 nm pitch FAV structure fabricated after integrated sialylation and selective deposition of 4 nm SiC(O) with UV/Thermal Assisted Vapor Processing. Overall, the integrated Sialylation and selective provide a simpler the low k SiCOH dielectric repairs and selective dep of lower k SiC(O) dielectrics film for FAV and other planar surface applications in electronic device fabrication without the need of SAM that normally required in selective deposition.

REFERENCES

[1] B. D. Briggs et al., ” IEDM Tech. Dig., Dec. 2017 pp. 338-341

[2] S. Van Nguyen et al., ” Proc. IEEE Int. Interconnect Technol. Conf., paper S7-4, Jul. 2021 Kyoto, Japan.

[3] H.P. Chen, et al. , International Electron Device Meeting 2021, paper 22.1, San Francisco, CA, USA

[4] C.C.Yang, B. Li, H. Shobha, S. Nguyen, A. Grill, J. Aubuchon, M. Shek and D. Edelstein. IEEE Electron Device Letter, Vol 33, No, 4, pp.588-560 (2012).

* Figures 1-5 are in supplement

View Supplemental Document (pdf)
10:00 AM AP+EM+PS+TF-MoM-8 Atomic and Molecular Monolayers on Silicon as Resists for Area-Selective Deposition
Andrew Teplyakov (University of Delaware)

As the size of the components in electronic devices decreases, new approaches and chemical modification schemes are needed to produce nanometer-size features with bottom-up manufacturing. Atomic and molecular layers can be used as effective resists to block the growth of materials on non-growth substrates in area-selective deposition methods. However, in order for these monolayers to be useful, it is imperative to know the initial structure and reactivity of these modified surfaces and also to understand what happens when the selectivity is lost. This talk will summarize recent developments in our search for effective resists based on chlorination and bromination of silicon surfaces performed by solution and gas-phase modification methods and preparation of organic monolayers starting with these surfaces. The structure, stability, and reactivity of the modified surfaces will be evaluated with spectroscopic and microscopic techniques, and their performance as potential resists in ALD of titanium dioxide will be discussed. The TiO2 deposition is performed using thermal ALD with tetrakisdimethylamidotitanium (TDMAT) or TiCl4 as the source of titanium and water as the co-reactant. The selectivity of the process will be compared to that of unmodified (oxidized) silicon surfaces (prototypical growth surface) and of the H-covered silicon surfaces (prototypical non-growth surface).

10:15 AM BREAK
10:30 AM Invited AP+EM+PS+TF-MoM-10 Selectivity Loss During Area-Selective Deposition Processes: The Role of Chemical Passivation and Steric Shielding
Marc J. M. Merkx, Pengmei Yu, Ilker Tezsevin, Adriaan J. M. Mackus (Eindhoven University of Technology, Dept. Applied Physics); Tania E. Sandoval (Universidad Técnica Federico Santa María, Dept. Chemical and Environmental Engineering)

Area-selective deposition (ASD) processes have been an extensive area of research for the past few decades. Strategies to achieve selectivity include the use of self-assembled monolayers, inherent selectivity of precursor molecules, and most recently, the use of small molecule inhibitors (SMIs). All these different approaches require a fundamental understanding of the mechanism at every step of the process, and more importantly, what determines selectivity loss. In the case of SMIs, there are several challenges related to their vapor phase dosing to be overcome to improve selectivity, such as, reaching high packing, chemical passivation, and steric shielding.[1-2]

This study explores the connection between chemical passivation and steric shielding with selectivity loss using SMIs. Specifically, how the adsorption of the SMI acetylacetone influences the nucleation of trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) on the non-growth area through displacement reactions.

Through a combination of experimental and theoretical characterization, we found that the observed changes to the non-growth area during ASD are related to the reactivity of the precursor towards the inhibition layer. Infrared spectroscopy reveals that 23% of TMA adsorbs on the non-growth area after SMI adsorption, exceeding DMAI and TDMAA by more than an order of magnitude. Density functional theory calculations are used to explore the role of chemical passivation by calculating adsorption energies of SMI and precursor, as well as displacement energies. We found that differences in reactivity across precursors and SMI are an important metric to determine displacement.

In addition, we carried out molecular dynamics to characterize the role of steric shielding by looking at the inertness of the non-growth area after SMI adsorption, and the different pathways of the precursor to reach the surface. These results show that the adsorption configurations of acetylacetone provide different degrees of steric shielding, where the most weakly adduct promotes precursor adsorption.[3] Moreover, they also show how the small size of TMA is detrimental to blocking as it can easily reach the surface, while TDMAA is more hindered from adsorption. Overall, this study provides important insights into the mechanism for selectivity loss, and highlights the different contributions to precursor blocking, providing a thorough understanding of inhibition in ASD processes.

[1] J. Li, et al. J. Vac. Sci. Technol. A 40, 062409, 2022

[2] P. Yu, et al. Appl. Surf. Sci. J.apsusc.2024.160141, 2024

[3] M.J.M. Merkx, et al. Chem. Matter. 32, 3335-3345, 2020

View Supplemental Document (pdf)
11:00 AM AP+EM+PS+TF-MoM-12 Computational Screening of Small Molecule Inhibitor Candidates for Area-Selective Atomic Layer Deposition
Joost Maas, Ilker Tezsevin, Pengmei Yu, Marc Merkx (TU / Eindhoven); Tania Sandoval (Universidad Tecnica Federico Santa Maria); Adriaan Mackus (TU / Eindhoven)

Area-selective atomic layer deposition using small molecule inhibitors (SMIs) represents a promising avenue to facilitate the downscaling of nanoelectronics. SMIs eliminate challenges such as alignment errors by enabling bottom-up selective growth using vapor-phase processing. Two main factors play a role in the viability of SMI candidates: (i) the inhibitor molecules must adsorb strongly and selectively on the non-growth area and (ii) these molecules must pack densely once adsorbed. In this contribution, these two factors are investigated using density functional theory (DFT) and random sequential adsorption (RSA) simulations respectively.1 RSA simulations mimic the adsorption of molecules as they arrive one-by-one in gas-phase and are used to determine the chemical passivation (by means of coverage and surface density) and steric shielding (by means of the fraction of covered area) performance of the SMIs.2 RSA is computationally lightweight and easily scalable.

A four tier simulation approach is followed to screen SMI candidates: (1) DFT on small clusters to determine whether adsorption is energetically favorable and to determine the adsorption configuration. The adsorption configuration is then used to create a 2D footprint of the molecule, such that it can be used in (2) initial RSA simulations of the candidate SMIs, yielding the coverage and fraction of covered area. (3) Based on this initial screening, the most promising candidates are further tested using periodic DFT to improve the accuracy of our approximation, and (4) RSA is re-run using the updated geometry. By narrowing down the list of candidates within each step, time is spent more efficiently on more complex simulations. A list of 30+ candidates is screened for Al2O3, SiO2, AlN, and Si3N4 using this method; surfaces chosen for their applications in semiconductor industry (AlN was included for a comparison between oxides and nitrides). The list of candidates is comprised of carboxylic acids and diketones, a selection inspired on the experimental performance of (among others) Hacac and acetic acid. Further divisions are made to investigate the effect of the carbon chain length (up to 5 for valeric acid), halides in the SMI, and reactive atoms (O/N/S). In line with simulation results, experimental results indicate that acetic acid performs well as an SMI, lending credence to the screening approach. Our analysis suggests that formic acid, acetamide, valeric acid, acetadiimidine, and imidodicarbonic diamide are promising candidates to be use as SMIs for ASD processes.

  1. J. Li; et. al. JVST A 2022, 40 (6), 062409
  2. Mameli, A.; et. al. ACS Nano 2017, 11 (9), 9303–9311.
View Supplemental Document (pdf)
11:15 AM AP+EM+PS+TF-MoM-13 Ordering of Small Molecule Inhibitors to Block Precursor Adsorption on Cu During Area-Selective Atomic Layer Deposition: A Computational Study
Ilker Tezsevin, Joost F. W. Maas, Marc J. M. Merkx (Eindhoven University of Technology); Scott Semproni, Jiun-Ruey Chen (Intel Corporation); Tania E. Sandoval (Universidad Técnica Federico Santa Mariá); Adriaan J. M. Mackus (Eindhoven University of Technology)

Thanks to their industrial compatibility, small molecule inhibitors (SMIs) offer a promising route for achieving area-selective atomic layer deposition (ASALD) in semiconductor fabrication. Cu is widely used in integrated circuits as an interconnect material and is a target non-growth area for many ASD applications. This study explores the adsorption and packing of SMIs on the Cu surface to achieve ASALD considering Cu as the non-growth area.

SMIs are dosed in the vapor phase and arrive one-by-one on random surface sites. Therefore, SMIs typically cannot form a dense ordered layer, leaving some surface sites uncovered and available for precursor adsorption.1,2 To promote the packing on the surface, an SMI favoring lateral interactions and surface mobility on the non-growth area is required such that a self-assembled monolayer (SAM)-like packing can be achieved. Our screening for effective SMIs using density functional theory (DFT) calculations led to the discovery of the great potential of pyridazine (C4H4N2), which exhibits strong adsorption on Cu via its nitrogen atoms. Random sequential adsorption simulations3 of pyridazine on Cu show that it can densely pack on the surface with a surface density of more than 2.4 molecules per nm2 such that precursors with a radius larger than 0.3 nm cannot reach the surface. Furthermore, detailed DFT and molecular dynamics studies suggest that pyridazine molecules show ordering on the Cu surface. Due to its unique adsorption configuration, pyridazine molecules favor lateral interactions resulting in a SAM-like ordering. Additionally, the pyridazine adsorption configuration allows for diffusion or rotation of the inhibitor on Cu with an activation barrier of less than 0.22 eV, enabling denser packing on the surface. In summary, the pyridazine molecule exhibits exceptional adsorption energetics on the Cu surface promoting a densified inhibitor layer on the non-growth area. Hence the unique properties of pyridazine may bridge the gap between the industrial applicability of SMIs and the efficiency of SAMs, making it a promising candidate for ASALD applications targeting Cu non-growth areas.

(1) Merkx, M. J. M.; et. al. Chem. Mater. 2020, 32 (18), 7788–7795.

(2) Tezsevin, I.; et. al. Langmuir 2023, 39 (12), 4265–4273.

(3) Li, J.; et. al. J. Vac. Sci. Technol. A 2022, 40 (6), 062409.

View Supplemental Document (pdf)
11:30 AM AP+EM+PS+TF-MoM-14 Revealing the Mechanisms for Loss of Selectivity in Area-Selective ALD Using in-Situ Infrared Spectroscopy
Eric H. K. Wong, Marc J. M. Merkx, Joost F. W. Maas, Ilker Tezsevin, Wilhelmus M. M. Kessels (Eindhoven University of Technology, The Netherlands); Tania E. Sandoval (Universidad Tecnica Federico Santa Maria); Adriaan J. M. Mackus (Eindhoven University of Technology, The Netherlands)

To fulfil the needs for self-aligned fabrication in sub-5 nm technology nodes in nanoelectronics, much research efforts have been devoted to the development of area-selective atomic layer deposition (AS-ALD). The use of small-molecule inhibitors (SMIs) is considered to be an industrially-compatible option due to its vapor-phase dosing. Our previous works have provided mechanistic insights into the first cycle of AS-ALD of SiO2 as a model system for understanding blocking by SMIs [1, 2]. However, the mechanisms for loss of selectivity in AS-ALD remain unexplored which is critical to improving the selectivity. In this work, we performed in-situ reflection-absorption infrared spectroscopy (RAIRS) measurements as a function of the number of cycles to reveal the mechanisms of the selectivity loss.

Twenty cycles of an ABDC-type process [3] comprising an acetylacetone (Hacac) inhibitor dose (step A), a bis(diethylamino)silane (BDEAS) precursor dose (step B), and subsequently two plasma (H2 and O2) exposure (steps D and C) were carried out on the non-growth area Al2O3. RAIRS spectra were taken after the steps A, B, and DC. We learned in previous work that the adsorption of Hacac on Al2O3 results in a mixture of chelate and monodentate adsorption configurations, with the chelate configuration being the effective form for precursor blocking [2]. Our results from the current study indicate that the precursor-blocking by the inhibitor layer decreases as a function of cycles. In addition, the mixture of inhibitor adsorption configuration changes to a higher chelate-to-monodentate ratio. DFT calculations indicate that the SiO2 defects formed due to the partial loss of selectivity prevent the successful re-application of the inhibitor molecules in the subsequent cycles. In summary, our results suggest that the change of chemical character of the non-growth area due to the formation of defects escalates the loss of selectivity. Furthermore, this work demonstrates a general approach for inspecting the mechanisms for loss of selectivity that can be extended to other AS-ALD systems.


[1] A. Mameli et al., ACS Nano. 11, 9303–9311 (2017).

[2] M. J. M. Merkx et al., Chem. Mater. 32, 3335–3345 (2020).

[3] M. J. M. Merkx et al., Journal of Vacuum Science & Technology A. 39, 012402 (2020).

View Supplemental Document (pdf)
11:45 AM AP+EM+PS+TF-MoM-15 Use of Sulfide Inhibitors for Multi-Surface Passivation and Area Selective Deposition
Summal Zoha, Bonwook Gu (Incheon National University); Fabian Pieck, Ralf Tonner Zeck (Leipzig University); Han-Bo-Ram Lee (Incheon National University)

In recent years, the area-selective atomic layer deposition (AS-ALD) process has excelled over conventional methods for precise and area-selective thin film deposition. This area selective deposition (ASD) method has displayed promising capability for 2D and 3D nanoscale patterning. With the help of inhibitor molecules capable of tailoring the surface properties, thin films can be deposited only on desired growth surfaces using AS-ALD without any unwanted growth on non-growth surfaces. In this regard, small molecule inhibitors (SMIs) have recently gained a lot of attention for their inhibiting capabilities despite having small sizes. The choice of surface inhibitor is crucial in determining the growth, non-growth surface, and the degree of surface passivation. In this study, three organosulfide inhibitors have been utilized for AS-ALD on metal, oxide, and nitride surfaces, Cu, SiO2, and TiN, respectively. These inhibitors display selective adsorption on the Cu surface and in some cases on the SiO2 surface, while the TiN surface remains unaffected by the inhibitors. The density functional theory (DFT) study revealed that the inhibitors are capable of decomposing to assist the adsorption of their constituents on the Cu and SiO2 substrates, thereby simultaneously inhibiting two surfaces through a single inhibitor. A comparison between the organosulfide inhibitors was performed to comprehend blocking behavior. Blocking results of HfO2 ALD revealed that the longer straight-chained organosulfide inhibitor was able to uphold better blocking properties than compared to the shorter and branched organosulfide inhibitor. This concept of multi-surface inhibition by a single inhibitor can be an essential approach for Si device fabrication where several surfaces are exposed under AS-ALD processes.

Session Abstract Book
(349KB, Jul 23, 2024)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule