AVS 68 Session PS1+MS+SS-FrM: Modelling of Plasmas and Plasma Driven Processes, and Plasma-Surface Interactions II

Friday, November 11, 2022 8:20 AM in Room 305

Friday Morning

Session Abstract Book
(319KB, Nov 18, 2022)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:20 AM PS1+MS+SS-FrM-1 Helium and Hydrogen Plasmas Interaction with Si-Based Materials for Advanced Etch Applications: Insights from MD Simulations
Emilie Despiau-Pujo, Vahagn Martirosyan, Florian Pinzan (Univ. Grenoble Alpes, CNRS, LTM); François Leverd (ST Microelectronics); Olivier Joubert (Univ. Grenoble Alpes, CNRS, LTM)

Featuring ultrathin layered materials in complex architectures, advanced nanoelectronics structures must be etched with a nanoscale precision and a high selectivity to preserve the electronic properties of active layers. Plasma-induced damage and reactive layers formed during the etch process must thus be carefully controlled, a challenge which cannot be addressed by conventional continuous-wave plasmas.To achieve uniform and damage-free etching, one possible route is to use sequential ion modification and chemical removal steps. In the first step, the material to be etched is exposed to a hydrogen (H2) or helium (He) CCP or ICP plasma; in a second step, the modified layer is selectively removed by wet cleaning (HF bath) or exposure to gaseous reactants only (eg. NF3/NH3 remote plasma). In this paper, Molecular Dynamics (MD) simulations are performed to study the interaction between He and H2 plasmas with Si, Si3N4 and SiO2 materials. The objective is to better understand the light ion implantation step, and clarify the influence of the ion energy and ion dose on the structural and chemical modifications of the surface. Simulations of low-energy (15-200 eV) He+ and Hx+ bombardment lead to a self-limited ion implantation, followed by the formation of a modified layer of constant thickness at steady state. The modified layer thickness increases with the incident ion energy and only few sputtering is observed in the ion energy range considered here. The detailed structure of the modified materials at steady state will be discussed and compared during the presentation. Simulation predictions will also be confronted to experimental results of He+ and H+ implantation of Si3N4 and SiO2 layers, followed by HF wet cleaning.

8:40 AM PS1+MS+SS-FrM-2 Efficient Parametric Nonlinear Model Reduction of Low Temperature Plasma Applications
Abhishek Verma (Applied Materials Inc.); Kallol Bera, Shahid Rauf (Applied Materials, Inc.)

Low temperature plasma simulations are playing an increasingly important role in system discovery, design and decision making in industrial applications, with greater demands for model fidelity. Often, high fidelity simulation models necessitate fine spatiotemporal resolution, coupled multiphysics etc., leading to higher computational cost. This precludes the integration of such models for many important scenarios where the models are required to be simulated very rapidly and multiple times. To overcome this challenge, we present a deep learning based non-linear model order reduction method used to develop surrogate models for low temperature plasma applications. We propose a computationally practical approach for model order reduction that is non-intrusive and purely data driven in nature, using convolutional autoencoders and recurrent neural networks from deep learning. Our numerical experiments on radio frequency powered capacitively coupled plasmas shows that deep learning-based model can learn an efficient latent space representation of spatial and temporal features of plasma dynamics. The learning data is generated by the full order model that includes continuity equations for charged and neutral species, drift-diffusion approximation for electron flux, momentum conservation equation for ions coupled with Poisson’s equation. The proposed method is extended to parametric model by embedding parametric information into the latent space for broader applications. Finally, we demonstrate the effectiveness of proposed approach over linear-subspace method for low temperature plasma applications.

9:00 AM PS1+MS+SS-FrM-3 Novel Approaches to Generate Missing Data for Plasma Chemistry Modeling
Sebastian Mohr (Quantemol Ltd.); Martin Hanicinec, Alec Owens, Jonathan Tennyson (University College London)

Plasma simulations are a standard method in both industrial and academic settings to optimise plasma processes and gain a better understanding of the underlying physical and chemical processes. To get useful results, comprehensive and reliable data on chemical processes in the plasma are vital. These usually need to be collected from multiple sources including journal articles. To shorten this process, several centralised plasma chemistry databases were collected in recent years. The Quantemol-DB database [1] started as simply a collection of reaction data. Since then it has been enhanced by tools to quickly collect and test data for specific gas mixtures such as an automatic set generator and a global model. Here, we introduce new additions to this tool set.

While for some commonly used gases such oxygen or CF4, vast data on heavy particle reactions are available, they are missing for more exotic or newly used gases. In such cases, reactions are usually included by analogy to known gases, including the rate coefficients for these estimated reactions. However, the rate coefficients can actually differ significantly for similar reactions between different molecules. This is especially true for neutral-neutral reactions which can have a significant influence on the chemical composition of the plasma. Ab initio calculations are very time-intensive if possible at all, so to get better estimates of missing rate coefficients, we developed and tested a machine learning regression model [2] which gives rate coefficients for binary heavy particle reactions based on fundamental physical and chemical properties of the reactants and products.

Apart from reactions between particles, radiative transitions of excited states also play an important role in plasmas. They determine the density of excited states and provide the flux of photons to surfaces which might induce additional surface reactions. Furthermore, optical emission spectra are an important diagnostic to obtain plasma parameters. In order to incorporate radiative transitions into our set generation tools, we have created a new database of atomic and molecular lifetimes called LiDa which is linked to QDB and enhances our global model to allow for excited state lifetimes. In due course we will also provide plasma emission fluxes.

[1] Tennyson et al., Plasma Sources Sci. and Technol.26, 055014 (2017)

[2] https://github.com/martin-hanicinec-ucl/regreschem

9:20 AM PS1+MS+SS-FrM-4 Particle-in-Cell Modeling of Electron-Beam Generated Low Electron Temperature Plasma
Shahid Rauf (Applied Materials, Inc.); Dmytro Sydorenko (University of Alberta); Sierra Jubin, Willca Villafana, Stephane Ethier, Alexander Khrabrov, Igor Kaganovich (Princeton University Plasma Physics Lab)

Plasmas generated using energetic electron beams are known to have low electron temperature and plasma potential, attributes that are particularly useful for atomic-precision plasma processing. [1] It has been demonstrated that electron beam plasmas cause significantly lower degradation of single layer carbon nanotubes than conventional radio-frequency plasmas. [2] In addition, they have been used to etch materials with atomic precision. [3] Electron beam produced plasmas are typically confined using a static magnetic field and operated at low gas pressures. Previous hybrid modeling of these plasmas confirmed that plasma transport can be non-classical in this parameter regime. [4] The electron transport coefficients were empirically tuned in this hybrid model using experimental measurements, and this hybrid model is only expected to be valid over a narrow range of gas pressure and magnetic field. A self-consistent 2-dimensional particle-in-cell model of electron beam produced plasmas is described in this paper. The model examines the creation and evolution of plasma in low pressure (10 – 40 mTorr) Ar gas on injection of an energetic electron beam (2 keV). Low frequency waves are initially observed as the plasma forms and expands across the magnetic field. These waves radiate outwards from the beam axis towards the chamber walls. The waves gradually disappear as the plasma fills the chamber volume. The final steady-state plasma is well-confined by the magnetic field with the plasma more constricted around the beam axis at lower pressure and higher magnetic field. The electron temperature is less than 1 eV for the range of conditions examined. Physical and energy transport in the plasma (i.e., ambipolar diffusion and thermal conduction) are observed to scale differently with magnetic field and gas pressure. The charged species density is, for example, found to be more confined near the electron beam axis than the electron temperature. The effect of gas pressure, magnetic field and beam current are examined in the paper. The impact of these parameters on electron density, plasma potential and electron temperature are found consistent with probe-based experimental measurements. [4]

[1] Walton et al., ECS J. Solid State Sci. Technol. 6, N5033 (2015).

[2] Jagtiani et al., J. Vac. Sci. Technol. A 34, 01B103 (2016).

[3] Dorf et al., J. Phys. D: Appl. Phys. 50, 274003 (2017).

[4] Rauf et al., Plasma Sources Sci. Technol. 26, 065006 (2017).

9:40 AM PS1+MS+SS-FrM-5 Modeling Edge Effects in Wafer Etching with VSim
Daniel Main, John Cary, Thomas Jenkins (Tech-X Corporation)
Plasma processing chambers for the etching of wafers are often used to create a uniform etch along most of the wafer. In such a chamber, a plasma is created using a RF source via Capacitive Coupling (CCP) or Inductive Coupling (ICP). The source region is often far from the wafer (thousands of electron Deybe lengths) so that the plasma is nearly uniform for most of the chamber.Therefore, the physics that requires a kinetic approach occurs near the wafer (within a few hundred Debye lengths).An important part of the process is the acceleration of the ions due to the sheath that forms near the wafer. However, the discontinuity in the boundary near the edge of the wafer leads to a non-uniform sheath and hence non-uniform ion velocities impacting the wafer.One way to make the sheath more uniform is to place a “focus ring” (FR) near the wafer edge. To model the essential physics near the wafer, including the effect of the FR on the sheath dynamics, we have used the electromagnetic, fully kinetic, particle-in-cell simulation package VSim.The simulation includes electrons, argon ions and neutral argon gas. We also include collisions between electrons and neutral species, secondary emission off the wafer, and the self-consistent calculation of the electric field, including a proper inclusion of the wafer and FR dielectric constants. Since the electric field is determined by Poisson’s equation, including a full kinetic treatment of the electrons is essential for computing the sheath physics, and hence ion dynamics, correctly.Because of the small spatial and time steps required for a fully kinetic model, we include about half the wafer up to the edge and about 200 Deybe lengths above the wafer. We inject both electrons and ions (modeled as drifting Maxwellians) at the boundary opposite the wafer using incoming-flux boundary conditions, which ensure a smooth transition from the assumed infinite plasma reservoir outside the simulation into the simulation domain. We use Rejection-Sampling theory to compute the correct incoming-flux velocities of the injected particles. The boundary that includes the wafer is an absorbing boundary; electrons and ions accumulate on the dielectrics at this boundary. We show that elastic collisions tend to create a more symmetric Ion Angular-Energy Distribution (IAED) function about the normal. Finally, we demonstrate the role the focus ring has on the IAED and sheath dynamics.
10:00 AM PS1+MS+SS-FrM-6 A Study on Dielectric Material Etching in Cryogenic Process Based on Atomistic Simulation
Junghwan Um (Yonsei University, Korea); Sungil Cho (Samsung Electronics Co., Inc.); Keonwook Kang (Yonsei University, Korea)

The adsorption of H2, H2O and HF in each membrane was calculated using molecular dynamics and DFT as parameters necessary to know the adsorbate concentration on the surface according to the temperature of SiO2 and Si3N4. After obtaining the parameters for reaction rate of the pathway in which the chemical reaction of each film material appears, the reaction rate according to the surface temperature of the film material was calculated using an analytic model and previously reported experimental results. As a result, the reaction rate according to the surface temperature of SiO2 and Si3N4 was obtained, and the reaction rate increased by decreasing the temperature was presented as a quantitative value. Finally, through the results of this study on the temperature dependence of the surface reaction, the understanding of the cryogenic process was helped, and the overcoming of aspect ratio dependent etching in deep contact was considered.

10:20 AM PS1+MS+SS-FrM-7 Machine Learning Based Model for a RF Hollow Cathode Discharge
Kallol Bera, Abhishek Verma, Sathya Ganta, Shahid Rauf (Applied Materials, Inc.)

Radio-frequency (RF) hollow cathode discharges (HCD) at low to moderate pressures have gained significance for advanced plasma processes in the semiconductor industry. HCDs form in cylindrical cavities in the cathode, and one can use an array of such cavities to create large area HCDs. A neutral-network based reduced order model for HCDs is discussed in this paper, where this reduced order model is trained using results from Particle-in-Cell/Monte Carlo Collision (PIC/MCC) simulations of single hollow cathode holes. In this PIC/MCC model, using charge density of particles, Poisson equation is solved for electric potential, which yields the electric field. Using this electric field, all charged particles are moved. The PIC/MCC code considers particle collisions with each other and with neutral fluid using a Monte Carlo model. RF hollow cathode behavior is simulated and characterized for different hole size, pressure, RF voltage, frequency, and secondary electron emission coefficient. The plasma penetrates inside the hollow cathode hole with increase in pressure, leading to plasma enhancement. The synergistic effect of RF sheath heating and secondary electron acceleration on hollow cathode discharge has been observed. For improved computational efficiency, a reduced order modeling framework has been developed based on neural network using plasma model parameters. Different methodologies have been explored in selecting and preprocessing physical data to train and validate the neural network. The temporal variation of voltage-current characteristics as well as that of spatial profile of plasma variables (density, temperature, etc.) have been used to train the neural network model. The predictions of trained neural network model compare reasonably well with that of the underlying physical model observations in PIC/MCC simulations. The neural network framework is being applied to determine the collective behavior of an array of RF hollow cathode holes for large area HCDs.

10:40 AM PS1+MS+SS-FrM-8 Molecular Dynamics Simulations of Plasma-Enhanced Atomic Layer Etching of Silicon Nitride Using Hydrofluorocarbon and Oxygen Plasmas
Jomar Tercero (Osaka University, Japan); Akiko Hirata (Sony Semiconductor Solutions Corporation); Michiro Isobe, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa (Sony Semiconductor Solutions Corporation); Satoshi Hamaguchi (Osaka University, Japan)

Molecular dynamics simulations were performed to study the influence of oxygen (O2) in the hydrofluorocarbon (HFC) plasma-enhanced atomic layer etching (ALE) of silicon nitride (Si3N4). ALE is known to etch a surface with atomic-scale control and precision. Its in-depth understanding is essential for the advancement of fabrication technologies for semiconductor devices. It was presented earlier that such a Si3N4 ALE process can lead to an etch stop due to the accumulation of C atoms on the surface [1]. It was then shown that, by introducing an O2 plasma irradiation step, a stable etch was observed and the etch stop was prevented [2]. In this study, molecular dynamics (MD) simulations were used to clarify the interaction mechanisms of an O2 plasma with the modified Si3N4 surface during the HFC-based ALE process. To do this, CH2F radicals were used in the adsorption step. It was then followed by Ar+ bombardment in the desorption step. Subsequently, O2 plasma was introduced as an additional step to help the removal of the remaining HFC species. This series of steps corresponds to one ALE cycle. Our simulations have shown that, during the desorption step of the first ALE cycle, HFC species assist the removal of the Si and N atoms of the Si3N4 by the formation of volatile by-products such as SiFx, CNx, and NHx species. On the other hand, due to the momentum transfer from incident Ar+ ions, some HFC species were pushed into the bulk layer, forming chemical bonds with Si and N atoms therein. By the addition of the O2 plasma irradiation step, it was observed that HFC species interact with O atoms adsorbed on the surface. The removal of C atoms was also enhanced by the formation of COx. In this way, our MD simulations have shown that the additional O2 plasma irradiation step prevents the etch stop and allows stable Si3N4 ALE cycles.

References

[1] A. Hirata, M. Fukasawa, K. Kugimiya, K. Nagaoka, K. Karahashi, S. Hamaguchi, and H. Iwamoto, Journal of Vacuum Science & Technology A, 38, 062601 (2020).

[2] A. Hirata, M. Fukasawa, J.U. Tercero, K. Kugimiya, Y. Hagimoto, K. Karahashi, S. Hamaguchi, and H. Iwamoto, Japanese Journal of Applied Physics (2022).

11:00 AM PS1+MS+SS-FrM-9 Understanding Plasma Etch Mechanism of Low-k Materials Under Low Temperature Substrates with Fluorine-Based Precursors
Daniel Santos (Tokyo Electron America); Christophe Vallee (SUNY Polytechnic Institute, Albany); Peng Wang (Tokyo Electron America)

Plasma etching of ultra-low-k materials at aggressive back end of line (BEOL) nodes has become increasingly challenging as plasma induced damage becomes a significant challenge to overcome. Conventional reactive ion etch (RIE) processes usually occur at a temperature near room temperature in which diffusion of radicals will damage low-k materials surface. Alternatively, to limit diffusion mechanisms and prevent damage, cryogenic cooling of a substrate sub <-100 C can be used. The purpose of this research is to understand how to leverage the range between room temperature and cryogenic temperatures when using fluorine-based plasmas.

For this work we use a 300mm dual frequency TEL CCP chamber equipped with a low-temperature electrostatic chuck to conduct our experiments. Furthermore, we use in-situ OES, ex-situ XPS, and ellipsometry to understand the plasma surface interactions and observe change in etch rates, fluorine content and composition. We find the choice of between different Fluorine molecules plays a critical role in changing the surface fluorination in dielectric materials, and opposite results have been observed. When using NF3 the etch rate of low-k 3.0 increases from 200 nm min-1 at 15C to 350 nm min-1 at -40C but the etch rate of thermal oxide remains 10 nm min-1 at all ranges between which displays an increase in selectivity between the films. Opposite of that, when using C4F8 we observe the etch rate decrease from 135 nm min-1 at 15C to 90 nm min-1 at -40C with decreasing temperature suggesting that we enter a higher polymerization regime. We have concluded that different temperature threshold can be obtained, for same plasma parameters, for switching from deposition to etching regime and this behavior depends on fluorine molecule and substrate material composition, which also induces a modification of the selectivity. Using this approach, we try to understand the plasma surface reactions for the fluorine molecules as a function of their triple point temperature, surface saturation with F atoms, and condensation mechanisms.

11:20 AM PS1+MS+SS-FrM-10 Plasma-Assisted Atomic Layer Etching of Silicon Nitride with Unfragmented Fluorocarbons
Chon Hei Lam, Megan Carruth (University of Texas at Austin); Zhiying Chen, Joel Blakeney, Peter Ventzek, Shyam Sridhar (Tokyo Electron America Inc.); John Ekerdt (University of Texas at Austin)

The self-limiting behavior in atomic layer etching (ALE) processes promise to deliver atomic scale fidelity for three-dimensional device fabrication. Plasma-assisted ALE processes typically alternate cycles of chemical modification to weaken the surface bonds followed by ion bombardment to remove a limited amount of material. ALE may provide fine control over the etch rate through the “layer-by-layer” process and can limit physical damage to the substrate. Since silicon nitride films are a likely component in self-aligned multiple patterning schemes, we explore silicon nitride ALE by utilizing undissociated fluorocarbon (CF4 and CHF3) adsorption followed by argon ion bombardment. The impact of gas precursors, energetic ion energy, substrate temperature, and the nature of the surface chemical modification are discussed. We follow the surface chemistry and monitor structural damage during ALE with various in situ probes (X-ray photoelectron spectroscopy and spectral ellipsometry). Using CHF3 to illustrate surface modification and argon ion bombardment to affect removal in concert with the spectroscopic probes we demonstrate changes to the adsorbed layer during bombardment. The ALE steps are performed at 100 °C (Fig 1). The silicon nitride films were exposed to CHF3 at 4 mTorr for 60 s in the fluorocarbon adsorption step followed by argon ion bombardment. The F 1s peak appears after argon ion bombardment (4 min, 200 eV) at 100 °C. After the first ALE cycle, a C-F bond was detected in C 1s spectra at high binding energy (300 eV) (Fig 2a). The energetic argon ions fragment the fluorocarbon and activate the interaction between fluorine and silicon. The ALE process also leads to nitrogen depletion which is shown in the N 1s spectra (Fig 2d). After extended ALE cycles, the Si 2p peak shifts to higher binding energy and it might indicate that SiOx and SiFx formed through the ALE cycles. The intensity of O increases and the intensity of N decreases along the ALE cycles. The fluorine signal was detectable and the signal in C 1s spectra was barely noticeable, which suggest fluorine remains after the ALE process and it combines with silicon in the process. The ellipsometry result (Fig 1) shows a consistent removal amount per cycle of 1.4 Å/cycle over the 20 ALE cycles.

View Supplemental Document (pdf)
11:40 AM PS1+MS+SS-FrM-11 Time Resolved Ion Energy Distribution in Pulsed Inductively Coupled Argon Plasma with/without DC Bias
Zhiying Chen, Joel Blakeney, Megan Carruth, Peter Ventzek (Tokyo Electron America Inc.)

Pulsed plasmas have emerged as promising candidates as means for precise control of ion energy/angle dependent surface processes and surface chemistry during plasma process, which are the key to 3nm and beyond device fabrication. The ion energy distribution functions (IEDFs) and ion fluxes over a pulsed period are important to understand as they directly influenced feature profile, damage and selectivity. We have developed an advanced plasma diagnostics (APD) system with advanced pulsing capability, including source, bias and synchronous pulsing. It is a compact inductively coupled plasma system with RF source frequency of 13.56 MHz intended to diagnose the general behavior of biased high-density plasmas. We report the effect of pulse frequency, RF duty cycle and power, DC duty cycle and voltage, and discharge pressure on the IEDFs and ion flux over a pulse period on the APD system. The time-resolved IEDFs and ion flux were measured using a retarding field energy analyzer. The ion energy transitions in a pulsed period from plasma ignition stage to stable stage and from plasma in glow period to afterglow period are studied. The results indicate the ion energy and ion flux are tailored by RF pulsing and RF-DC pulsing. The time-resolved IEDF demonstrates the merits of pulsing to precise control ion energy and flux, and the ion energy spread narrowed by pulsed plasma.

Session Abstract Book
(319KB, Nov 18, 2022)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule