ALD/ALE 2024 Session ALE-SuP: Atomic Layer Etching Poster Session

Sunday, August 4, 2024 6:00 PM in Room Hall 3
Sunday Evening

Session Abstract Book
(376KB, Jun 24, 2024)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

ALE-SuP-1 Removing Defects from InGaP Surfaces Using Thermal Atomic Layer Etching (ALE)
Ross Edel, Andrew Cavanagh, Taewook Nam, Steven George (University of Colorado Boulder)

Indium gallium phosphide (InGaP) is widely used for red light-emitting diode (LED) devices. Surface defects on LED devices can lead to non-radiative electron/hole pair recombination that reduces their light output. This light quenching effect is more severe for smaller LED devices that have high surface-to-volume ratios. The surface defects are believed to be formed by energetic ion species from plasma processing used to fabricate the LEDs. Thermal ALE may provide a method to remove these surface defects.

Thermal InGaP ALE was performed using static, sequential hydrogen fluoride (HF) and dimethylaluminum chloride (DMAC) exposures. This procedure yields etch rates of 0.5–1.0 Å/cycle at temperatures from 300–330 °C, respectively. Etching with only HF/DMAC exposures often produced a chemically distinct top layer due to a conversion mechanism. This conversion could be avoided by first removing the InGaP native oxide using sequential HF and trimethylaluminum (TMA) exposures. This work was conducted using a new apparatus that combines a hot wall ALD/ALE reactor with in vacuo Auger spectroscopy. This apparatus allows the sample to be characterized throughout the thermal ALE process without exposure to air.

The removal of surface defects by ALE was studied using ex situ X-ray photoelectron spectroscopy (XPS). InGaP samples damaged by Ar+ ion sputtering were analyzed before and after thermal ALE by tracking the shifted components in the P 2p XPS region that correspond to damage from sputtering. An XPS scan of an unsputtered InGaP sample shows only a bulk doublet (Figure 1a). An InGaP sample after sputtering displays two additional shifted components that correspond to undercoordinated atoms in the damaged lattice (Figure 1b).

InGaP ALE can then remove the XPS features associated with the damaged lattice. A bulk-like XPS spectrum showing minimal damage was recovered after 50 ALE cycles for a sample initially exposed to 500 eV sputtering (Figure 2a). By contrast, annealing for 72 hours at 300 C without etching was not sufficient to eliminate the damage. AES analysis similarly showed that the argon implanted in the sample by sputtering was removed after etching but not annealing. Increasing the sputtering ion energy to 2 keV required more extensive etching to remove the sputter damage. With the higher ion energy of 2 keV, 100 ALE cycles were able to largely remove the surface defects (Figure 2b). The etch depth consistent with 100 ALE cycles indicates a damaged material depth of ~4-5 nm.

View Supplemental Document (pdf)
ALE-SuP-2 Tailored Waveforms for CCP Discharges in ALE Applications
Anna Nelson, Sebastian Mohr (Quantemol Ltd.)

Atomic layer etching (ALE) is increasingly used in the manufacturing of semiconductor tools as they give more control over the resulting etching profiles than traditional etching techniques. While different approaches to ALE exist, many of them employ plasmas in one or more steps of the ALE process, be it to use the neutral radicals produced in the plasma to alter the surface or the ions to remove the altered top layer [1].

For such applications, independent control of ion flux and ion energy is highly desirable. Single frequency capacitively coupled discharges (CCPs) do not offer this, as the input power affects both flux and energy. Dual frequency discharges allow this to some extent, but it is limited due to, for example, increased ionization by secondary electrons at high powers of the low frequency. Furthermore, traditional CCPs usually produce bimodal ion energy distribution functions which can cover several 10s to 100s of eV with sharp peaks at either end, so the ion energy cannot be easily limited to a small interval of energies, which is desirable especially for ALE applications, so that the ions remove the top layer of the surface but do not damage the underlying bulk [1].

An alternative approach to achieve this desired control are tailored waveforms. These can range from so-called asymmetric waveforms combining a fundamental frequency with even multiples [2] to non-sinusoidal waveforms typically consisting of sharp voltage peaks [1] followed by a relatively long interval of an almost constant voltage. While it has been demonstrated that these type of CCPs offer independent control of ion flux and energy and/or are able to limit the ion energy to narrow energy intervals, they have not yet been well studied in industrial applications.

This presentation will show first efforts to simulate industrial applications of tailored waveform CCPs using the well-established 2D plasma simulation code HPEM [3]. The simulations are carried out in gases used in typical ALE applications such as chlorine containing molecules whereas most investigations so far were limited to rare gases such as argon which give principle insight into the effects taking place in such discharges are of limited use to understand and optimize industrial processes. We will demonstrate first results from these simulations.

[1] T. Faraz et al. J. Appl. Phys. 128 213301 (2020)
[2] U Czarnetzki et al Plasma Sources Sci. Technol. 20 024010 (2011)
[3] M. Kushner J. Phys. D 42 194013 (2009)

ALE-SuP-3 Atomic Layer Control of 2D WS2 Through the Formation of Volatile Tungsten Oxychloride
Hye Won Han, Ji Eun Kang, Ji Min Kim, Geun Young Yeom (Sungkyunkwan University)

Transition metal dichalcogenides (TMDs) are two-dimensional materials renowned for their variable electronic and optoelectronic properties depending on the thickness of the materials. Precise layer control of two-dimensional TMDs is crucial for their integration into advanced material and device applications, necessitating a refined etching technique. Previous research approaches to layer control using radical adsorption and ion desorption processes, which can cause physical damage. To address these challenges, we introduce the layer control of WS2 with the formation of volatile tungsten oxychloride designed for the sequential removal of WS2 layers. Oxychloride is formed on the WS2 surface using an ALE-based cyclic sequential process and the volatile tungsten oxychloride can be removed by heating. Utilizing a radical-only mechanism, we can ensure damage-free and isotropic etching, which is essential for three-dimensional device fabrication. By offering the layer control of WS2, this research opens new avenues for the integration of TMDs into future material and device technologies, marking a significant advancement in the field of advanced materials research.

ALE-SuP-4 Thermal Atomic Layer Etching of Ternary Indium Gallium Phosphide Based on Fluorination and Ligand-Exchange Reactions
TAEWOOK NAM, Steven George (University of Colorado Boulder)

Thermal atomic layer etching (ALE) has been developed for metal oxides (Al2O3, HfO2, ZrO2, ZnO, WO3, SiO2), nitrides (SiN, TiN, AlN), elements (Ni, Co, Mo, Si, W), and sulfides (ZnS). This study introduces the thermal ALE of a ternary phosphide material – indium gallium phosphide (InGaP). The InGaP films were grown on GaAs (100) substrate using metal organic chemical vapor deposition (MOCVD). The thickness of the InGaP films was monitored during thermal ALE by spectroscopic ellipsometry (SE). InGaP ALE was first demonstrated using hydrofluoric acid (HF) and dimethylaluminum chloride (DMAC). HF and DMAC exposures under viscous flow conditions did not etch InGaP. However, with static 100 mTorr and 1 Torr exposures of HF and DMAC, respectively, InGaP was etched at 0.6 Å/cycle after a short etch delay. The etching delay is presumably caused by native oxide on the InGaP surface. At 200 °C, the etch rates were 0.05, 0.15, 0.41, 0.6, and 1.12 Å/cycle, at 225, 250, 275, 300, and 325 °C, respectively. After ALE, Auger electron spectroscopy (AES) detected Al on the InGaP surface. The Al AES signal indicates there could be a conversion reaction between the DMAC precursor and InGaP. Another etching chemistry was also developed for InGaP ALE using xenon difluoride (XeF2) and boron trichloride (BCl3). These precursors increased the etch rate and decreased the etching temperature compared with HF and DMAC. At XeF2 and BCl3 pressures of 20 and 230 mTorr, InGaP ALE showed linear thickness decrease versus ALE cycles after a short etch delay. When the XeF2 and BCl3 dose times were 1.5 and 1 s, respectively, the etch rate of InGaP at 200 °C was 2.2 Å/cycle. The etch rate saturation versus precursor dose times was also characterized at 175 °C. The etch rate was saturated at 2.5 Å/cycle when the XeF2 and BCl3 dose times were ≥ 1.5 and ≥1 s, respectively. The larger etch rates and lower etching temperatures using XeF2 are attributed to more favorable fluorination with XeF2. From thermochemical calculations, fluorination of InP and GaP using HF at 200 °C has standard Gibbs Free Energies of DG° = -39 and -26 kcal/mol. In contrast, fluorination using XeF2 has much larger standard Gibbs Free Energies of DG° = -424 and -411 kcal/mol, respectively.

View Supplemental Document (pdf)
ALE-SuP-5 Spontaneous Etching of Group V and VI Metal Oxides by Deoxychlorination Using Thionyl Chloride
Troy Colleran, Steven George (University of Colorado at Boulder)

Group V and VI metals and their respective oxides are of interest in microelectronic, electrochemical and sensing devices. Thermal etching of these materials provides a method to fabricate and clean these devices. The spontaneous etching of Group V and VI metal oxides was studied using an apparatus equipped with a quadrupole mass spectrometer (QMS). The QMS could measure the volatile etch products produced during thionyl chloride (SOCl2) exposure to nanopowders of Group V and VI metal oxides. Spontaneous thermal etching of MoO3, WO3, WO2, VO2, V2O5, and Nb2O5 was observed during SOCl2 exposures at 200°C. Etching was monitored by the presence of volatile metal oxychlorides during SOCl2 exposures in combination with the measured mass losses of the nanopowder after SOCl2 exposures. No etching was detected on MoO2 or Cr2O3.The volatile etch products were different dependent on the oxidation state of the metal center in the metal oxide. Species in the form of MO2Cl2 were most common for metals in the +6 oxidation state, such as MoO3 or WO3. Exclusively MOCl3 species were observed from metals in the +5 oxidation state. Lower oxidation states acted as a barrier as illustrated by the inability of MoO2 and Cr2O3 to undergo spontaneous etching. However, in the case of WO2 and VO2, SOCl2 was able to perform as an oxidizing agent and produced WO2Cl2 and VOCl3 etching products, respectively. The ability to spontaneously etch these metal oxides provides a method for cleaning the native oxides from pure Group V and VI metal films. In addition, combined with an oxidation step, the spontaneous etching of these metal oxides provides a pathway for metal ALE.

View Supplemental Document (pdf)
ALE-SuP-6 Phase Transition of Molybdenum Disulfide by Controlled Ion Beam ALE Method
Ji Eun Kang, Hye Won Han, Ji Min Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

Among the two-dimensional transition-metal dichalcogenides (TMDs), molybdenum disulfide (MoS2) exhibits promising capabilities for advanced electronic and optoelectronic devices. However, the performance of these devices is often hindered by the Schottky barrier and contact resistance issues arising from the interface between TMD materials and metal electrodes. To address this challenge, various techniques such as alignment of metal work functions, lithium intercalation, application of strain, and colloidal synthesis have been explored. Despite their potential, achieving uniform application of these techniques across large areas remains as a challenge. A novel strategy employing defect engineering to facilitate a phase transition from the 2H to the 1T phase has emerged to reduce contact resistance. But time-dependent processes and uncontrolled energy sources in these methods makes it difficult to uniformly create chalcogen defects in TMDs, potentially compromising the quality of the 1T phase. In this study, a self-limiting technique used for MoS2 ALE that precisely employs controlled Ar ion beams was investigated to selectively remove the top sulfur layer of MoS2, ensuring a uniform metal transition (MT) of MoS2 with a defined threshold ion energy. Furthermore, the conversion from the 1T phase back to the p-type branch was investigated through the incorporation of nitrogen atoms at the sites of removed sulfur, offering a novel approach to enhance the electronic properties of MoS2.

ALE-SuP-8 Ab Initio Investigation of Chelation on Amorphous CoCl2 Films for Atomic Layer Etching
Eugene Huh, Sangheon Lee (Ewha Womans University)

A thermal atomic layer etching process for Cobalt (Co) has been developed using Chlorination with BCl3 and Chelation with Hexafluoroacetylacetone (Hhfac). A thermal atomic layer etching process for Cobalt (Co) has been developed using Chlorination with BCl3 and Chelation with Hexafluoroacetylacetone (Hhfac). A previous computational study focused on DFT research about the chelation of adsorption and desorption of Hhfac, using the CoCl2 flakes model, as the coordination with Cl atoms proceeds through the termination of Co atoms on the amorphous surfaces. It was confirmed that the chlorination process is most stable when four Cl atoms form a coordination bond with Co, and the chelation process is most stable when CoCl2hfac and HCl are formed and then removed. Additionally, it was revealed that the adsorption of Hhfac during the chelation process is the rate-determining step.

In this study, we investigate the processes of chlorination and chelation with Hhfac on amorphous Co surfaces by performing DFT calculations. To this end, we perform the Monte Carlo simulations and Ab initio Molecular Dynamics (AIMD) to generate the various amorphous Co structures. Subsequently, we performed DFT calculations to obtain thermodynamic information about the chlorination of amorphous Co according to the Cl atom ratio and chelation process through coordination with Hhfac. On the amorphous CoCl2 surface, it was observed that structures with low coordination numbers, similar to the CoCl2 disk model, are energetically stable. Unlike in the disk model, it was identified that Co-Co bonding influences these structures. Such computational results enhance our understanding of atomic layer etching in experimentally obtained amorphous Co structures.

AcknowledgmentsThis work was supported by the Technology Innovation Program (RS-2023-00267003, Development of Plasma Etching Process using Low GWP HFC Gases) funded By the Ministry of Trade, Industry & Energy(MOTIE, Korea).

References [1] Kim, Y., Chae, S., Ha, H., Lee, H., Lee, S., & Chae, H. (2023). Thermal atomic layer etching of cobalt using plasma chlorination and chelation with hexafluoroacetylacetone. Applied Surface Science, 619, 156751.

ALE-SuP-9 Selective Isotropic Atomic Layer Etching of Silicon Nitride over Silicon Oxide with Surface Fluorination using CF4/H2O Plasma and Heating
Daeun Hong, Hyeongwu Lee, Minsung Jeon, Heeyeop Chae (Sungkyunkwan University (SKKU))
In this work, highly selective isotropic atomic layer etching (ALE) process was developed for Si3N4 over SiO2 by forming ammonium fluorosilicate (AFS) using CF4/H2O plasma and by removing the modified layer with heating. The AFS layer was selectively formed on Si3N4 surface with CF4/H2O plasma in the modification step, and the AFS layer was decomposed by heating in the removal step. The effect of the CF4/H2O gas ratio on the AFS formation was investigated in the modification step. The AFS layer was formed on Si3N4 over a wide range of H2O/ (CF4+H2O) ratios from 46 % to 82%, but the layer was partially removed into NH3, SiF4, and HCN with excessive H radicals at the H2O/ (CF4+H2O) ratios of 75% and above. The removal characteristic of AFS was investigated depending on the heating temperature in the removal step. The AFS was totally decomposed at a temperature of 200 ℃ or higher. The infinite etch rate selectivity of Si3N4 over SiO2 was achieved at the H2O/ (CF4+H2O) ratios above 70%. The high selectivity was attributed to the decrease in F radicals as the H2O flow rate increases by preventing spontaneous etching of SiO2 at the high H2O ratio. Etch per cycle of Si3N4 was saturated to 7 nm/cycle after 20 min of AFS formation time with H2O/ (CF4+H2O) ratio of 70%. No carbon residues were observed on the Si3N4 surface after the ALE process with CF4/H2O plasma.
ALE-SuP-10 Selective Thermal Atomic Layer Etching of Molybdenum & Other Metals for Semiconductor Metallization
Martin McBriarty, Nguyen Vu, Bhushan Zope (Merck KGaA, Darmstadt, Germany)

Performance of semiconductor integrated devices continues to be limited by metallization scaling. Molybdenum will play a key role in device scaling and is being evaluated for applications in logic interconnect, 3D-NAND word-line, and DRAM word-line. Mo enables low resistivity films while also eliminating the need for high-resistivity barriers, further accentuating its resistivity benefit to the metallization stack.

Selective thermal atomic layer etching (ALE) of molybdenum is critical for the successful integration of molybdenum metal in integrated device manufacturing. We have identified thermal ALE methods to selectively etch Mo metal without etching dielectric (SiO2) or semiconductor (Si) films. Mo etch is achieved by either oxidizing or chlorinating the Mo surface, followed by thermochemical volatilization of Mo complexes. Surface-limited reactions provide Mo etching without compromising its resistivity and enable consistent etch in high aspect ratio features. Surface quality is preserved, indicated by minimal surface roughening or contamination.

By demonstrating multiple pathways for Mo thermal ALE, we enable manufacturers to choose an ALE process that is suitable for a given device integration. We also extend these thermal ALE methods to other metals of interest, including Co, W, and TiN.

ALE-SuP-11 Theoretical investigation on Plasma Decomposition and Recombination Reaction Characteristics of C4F8O Isomers as Alternatives to HFC
Mihyeon Jo, Sangheon Lee (Ewha Womans University)

As we progress towards a carbon-neutral society, there is a growing emphasis on utilizing semiconductor etching gases with reduced global warming potentials. This necessitates the exploration of alternative gases to replace conventional perfluorinated compounds (PFCs) currently employed in industrial processes. Oxygen-containing fluorocarbons have emerged as promising candidates for this role due to their lower propensity to generate environmental pollutants such as CO, CO2, and COF2. In this context, the potential utilization of compounds like Perfluoro-2-butanone and Perfluorotetrahydrofuran in ion plasma etching processes is of particular interest. These compounds offer the possibility of maintaining high process efficiency while minimizing environmental impact.

In this study, we theoretically investigate and compare the decomposition and recombination characteristics of the three isomers of C4F8O: Fluoro-ketone derivatives, Perfluoro-2-butanone, and Fluoro-epoxide derivatives, perfluoroisobutylene oxide, as well as the ring compound Perfluorotetrahydrofuran, using the Gaussian 16 software program. Additionally, our study aims to provide comprehensive insights into the decomposition and recombination pathways of neutral molecules, as well as ionization-induced decomposition pathways. By examining these aspects, we seek to offer a thorough understanding of the chemical transformations occurring during the decomposition and recombination processes of the investigated Fluoro-ketone and Fluoro-epoxide derivatives, as well as Perfluorotetrahydrofuran. This holistic approach allows for a detailed analysis of both neutral-state and ionization-induced reactions, shedding light on the intricate mechanisms governing the behavior of these compounds in plasma environments commonly encountered in semiconductor fabrication processes. Through elucidating the various reaction pathways, our research endeavors to inform the design and optimization of gas precursors, ultimately facilitating the development of more efficient and environmentally sustainable semiconductor manufacturing technologies.

ALE-SuP-12 Plasma-Enhanced Atomic Layer Etching of Aluminum Oxide Using Trimethylaluminium and Nitrogen Trifluoride
Ole Bieg, Martin Kraut, Thomas Mikolajick (Technische Universität Dresden, Institute of Semiconductors and Microsystems)

While thermal atomic layer etching (ALE) processes using hydrogen fluoride (HF) and trimethylaluminum (TMA) have already been investigated [1][2], the critical nature of HF necessitates the explorations of alternative approaches. In this work, nitrogen trifluoride plasma (NF3) is proposed as a replacement, offering improved handling characteristics as well as enhanced fluorination while maintaining the precision inherent in an ALE process. The investigation focuses on plasma-enhanced atomic layer etching (PEALE) of aluminum oxide (Al2O3) using NF3 plasma and TMA.

This ALE process involves the fluorination of the Al2O3 surface by NF3 plasma in the initial step, followed by the removal of the modified layer through a ligand exchange reaction in the second step using TMA. Experimental studies were conducted at temperatures ranging from 200°C to 300 °C, with a critical transition temperature observed at 250 °C, distinguishing between ALE of Al2O3 at high temperatures and atomic layer deposition (ALD) of AlF3 at lower temperatures. The film growth at 200 °C indicates a shift in reaction mechanisms as a function of temperature.

The etch per cycle (EPC) showed a temperature-dependent saturation during TMA dosing and NF3 plasma exposure. Etching of Al2O3 films was investigated with in–situ quartz crystal microbalance (QCM) measurements, a non-destructive measurement method for fast and accurate recording of saturation curves, which provides valuable insights into etching dynamics and process kinetics. In addition, an EPC of 1.4 Å/cyc was determined by in–situ spectroscopic ellipsometry (SE). The composition of the fluorinated Al2O3 layers as well as the composition after the removal step using TMA was determined using in–vacuo X-ray photoelectron spectroscopy (XPS).

Sources:

[1] S. M. George and Y. Lee, Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions, ACS Nano, 10, 5, 4889–4894, 2016, doi: 10.1021/acsnano.6b02991.

[2] J. Reif, M. Knaut, S. Killge, M. Albert, T. Mikolajick, and J. W. Bartha, In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride, Journal of Vacuum Science & Technology A, 40, 3, 032602, 2022, doi: 10.1116/6.0001630

View Supplemental Document (pdf)
ALE-SuP-13 A Theoretical Study of Low Gwp Fluoro Gas Decomposition Properties
Minji Kim, Sangheon Lee (Ewha Woman's University)

Global warming is one of the serious problems about the earth’s environment and the biggest cause of global warming is CO2 released into the atmosphere, which has increased in concentration in proportion to the development of the industry, but other greenhouse gases such as perfluorocarbons (PFCs) and hydrofluorocarbons (HFCs) have also been shown to have a much stronger greenhouse effect than carbon dioxide. [1]

Because hydrofluorocarbons (HFCs) have a high infrared absorbency and long atmospheric lifetimes. The Global Warming Potentials (GWPs) which shows the degree to which other greenhouse gases contribute to global warming based on the impact of carbon dioxide on global warming, is 1,000 to 10,000 times as high as that of CO2 (whose GWP is 1). CHF3 molecule which is the simplest molecule among HFCs gases has 11,700 GWP index. [1]

These HFCs gases are used in various device-fabrication processes in the semiconductor industry, CHF3 is used in the etching process of SiO2 films. Since semiconductors are an indispensable part of modern technology. it is time to discover alternative gases with a low GWP index that can be used in the etching process.

Therefore C3F6O, one of the several Precursor gas candidates of fluoro-ketone, will be investigated for several radical species that decomposed during the etching process compared to the reference etching gas CHF3, and will be calculation the energy of decomposition path by performing computation details of the quantum chemical calculations, Gaussian09 and density-functional theory (DFT) using the Vienna ab initio simulation package (VASP). [2]

AcknowledgmentsThis work was supported by the Technology Innovation Program (RS-2023-00267003, Development of Plasma Etching Process using Low GWP HFC Gases) funded By the Ministry of Trade, Industry & Energy (MOTIE, Korea).

References [1]Okamoto, Y. Tomonari, M. “Ab initio calculations on reactions of CHF3 with its fragments” J. Phys. Chem. A, 104(12), 2729-2733(2000).[2] Sinha, N. Choi, H. “Perfluoro-methyl-vinyl-ether as SF6 alternative in insulation applications: A DFT study on the physiochemical properties and decomposition pathways” Comput Theor Chem, 1225, 114159(2023).

ALE-SuP-14 Enhancing Doping Efficiency in 2D Semiconductors using Cyclic Doping Method
Ji Min Kim, Ji Eun Kang, Hye Won Han, Geun Young Yeom (Sungkyunkwan University)
Two-dimensional (2D) semiconductors, characterized by their thickness-dependent electrical and optical properties, are emerging as promising materials for future semiconductor technologies. The quest for improved properties in 2D materials involves strategies such as precise thickness management, large-scale production, and effective doping strategies. Doping, in particular, is essential for modulating the electrical behavior of 2D semiconductors, but conventional methods like ion implantation pose challenges, including potential damage to the delicate structures of nanometer-thick layers. In response, alternative surface treatment techniques such as plasma-based radical adsorption, liquid solution spin coating, and immersion have been explored. Nonetheless, these approaches, which predominantly modify the surface, tend to be less effective as the material's thickness increases. Addressing the need for better vertical doping uniformity, this research presents a novel cyclic doping technique using a layer transfer process. This method improves the efficiency of doping across multiple layers and preserves the distinct layered structure of MoS2. The development of this cyclic doping strategy promises to overcome current limitations in doping efficiency and uniformity, marking a significant advancement in the manipulation of 2D materials for semiconductor applications with atomic layer precision.
ALE-SuP-15 Repairing Defects in Organosilane Self-Assembled Monolayers
Yasuharu Miyamoto, Yukifumi Yoshida (SCREEN Holdings Co., Ltd.); Toru Utsunomiya (Kyoto University); Koichi Sawada, Shogo Kunieda, Yusuke Ueda (SCREEN Holdings Co., Ltd.); Hiroyuki Sugimura (Kyoto University)

Area selective atomic layer deposition has been extensively investigated for advanced semiconductor device fabrication. Self-assembled monolayers (SAMs) are used as a protective layer for selective film deposition, and therefore, a defect-free monolayer is crucial for achieving high selectivity. Missing and misaligned precursor molecules can cause defects in SAMs [1]. Defect-free monolayers can be created by repairing defects, that is, removing the misaligned precursor molecules so-called physisorbed molecules, adding chemisorption sites on the surface and SAM reformation.

In this study, we assembled octadecyltrichlorosilane (ODTS) SAMs onto a SiO2 substrate and applied intermediate wet cleaning solutions between multiple SAM formation processes. The wet cleaning solutions were selected from among various solvents with different values of the Hansen solubility parameter (HSP) to remove the physisorbed SAM’s precursor molecules. We assumed that solvents with an HSP value closer to that of ODTS exhibits better solubility and removability of the physisorbed ODTS molecules. The SC-1 solution (ammonia and hydrogen peroxide mixture) widely used for the wet cleaning process to remove organic residue and to oxidize the surface was selected for adding functional groups on the surface of the defect area. The defects were analyzed using methods that combined post etching and AFM observation [2].

SAM formation with no intermediate wet cleaning, 1,3-bis(trifluoromethyl) benzene (high solubility of ODTS) and decane (low solubility of ODTS) resulted in 43.7 and 32.1 and 19.6 % of defect areas, respectively (Figure 1). These results indicated that wet cleaning effectively reduced the defects; however, no obvious correlation was observed with the HSP values. Toluene combined with SC-1 solution reduced the defects area to 0.3 % (Figure 1). This result can be attributed to cleaning and the addition of a silanol functional group on the SiO2 surface by a SC-1 solution, as reported by Hinckley et al. [1].

The combination of a solvent and an inorganic cleaning solution effectively repaired defects in ODTS SAMs, and a defect-free monolayer will be obtained by optimizing the cleaning solution.

References

[1] A. P. Hinckley, et al., Langmuir 36(10) 2563 (2020).

[2] Y. Miyamoto, et al., Solid State Phenomena 346 216 (2023).

View Supplemental Document (pdf)
ALE-SuP-16 Damage-Free Plasma-Enhanced ALE of SiO2
Daniil Shibanov, Dmitry Lopaev (Lomonosov Moscow State University); Yason Zaseev, Victor Varakin, Denis Kostyukov (JSC Research Institute of Precision Machine Manufacturing); Alexandr Rakhimov (Lomonosov Moscow State University)

The development of mechanisms and scenarios for plasma-enhanced atomic layer etching (PEALE) is of great importance for modern industrial technologies of nanostructures creation at the level of 2–7 nm on large wafers. The obvious criteria for the PEALE are high accuracy and repeatability of cycles, as well as the “damage-free” in the sense of complete absence of influence on the underlying layers of the material. In PEALE, as in other ALE technologies, this can be achieved by optimizing each step in the cycle.

This paper presents the optimization of PEALE SiO2 (deposited from TEOS) in order to obtain highly reproducible and damage-free etching cycles. Typically, PEALE SiO2 uses three basic steps in the cycle:

1) controlled plasma-enhanced deposition of a thin fluorocarbon CxFy layer of reagents;

2) ion activation of surface reactions;

3) removal of reaction products.

In this work, PEALE of SiO2 was carried out in a 13.56 MHz ICP reactor for 200 mm wafers at low pressure (3Pa) with ion energy control by applying RF bias to a wafer. The reactor was equipped with in-situ laser ellipsometry for control over the dynamics of the surface layer of SiO2. The plasma parameters were investigated by a Langmuir probe, a microwave hairpin probe, and an RFEA ion energy analyzer. To gain insight into material surface evolution, ex-situ XPS surface analysis was applied at each stage.

Optimization of PEALE SiO2 was carried out by monitoring all three stages of the process by varying the parameters and structure of both the plasma itself and the composition of the mixture.

During the work, it was shown that highly reproducible (>100 cycles), homogeneous (<2% on a 200 mm wafer), damage-free PEALE of SiO2 is possible in the following steps:

1) deposition of a CxFy film on a cooled wafer (-15 °C) at a constant, controlled rate in the downstream mode of an ICP discharge in an Ar/C4F8 mixture;

2) activation of surface reactions by Ar+ ions of optimal energy without removing the CxFy film in an Ar ICP discharge (with the application of an RF bias);

3) removal of reaction products with oxygen atoms and cleaning of reactor walls in the downstream ICP mode of discharge in an Ar/O2 mixture (without applying RF bias).

The strategy of simultaneous control of the discharge structure (ICP mode) and plasma chemistry in PEALE steps allows for almost damage-free PEALE SiO2.

View Supplemental Document (pdf)
ALE-SuP-17 Atomic Layer Etching of ITO
Christoffer Kauppinen (VTT Technical Research Centre of Finland)
Indium tin oxide (ITO) is a degenerate n-type semiconductor often used as a transparent conductor. Oxide semiconductors like ITO are being studied for monolithic 3D integration on CMOS transistors, but to make ITO transistors with high on/off ratio the ITO channel needs to be thinned. This poster presents our recently published work of ITO ALE using thermal surface modification with BCl3 and modified surface removal using Ar plasma. High synergy of 82% is obtained at 150°C. The etch per cycle (EPC) is 1.1 Å. The surface modification step exhibits excellent self-saturation and the modified surface removal step has a suitable self-saturation window. The ALE was developed in a conventional reactive ion etching (RIE) system. The developed ITO ALE was used to pattern ITO with conventional photoresist as the etch mask, and the etched areas are smoother than unetched areas, which is charasteristic of an ideal ALE process. The low-processing temperature, conventional photoresist compatible as etch mask, smoothening of the etched surfaces, and the use of conventional a RIE system make the ITO ALE process suitable for ITO transistor trials and fabrication.
ALE-SuP-18 Isotropic Atomic Layer Etching of Titanium Carbide Using Plasma-Exposure and Infrared Heating
Kazunori Shinoda (Hitachi High-Tech Corp.); Thi-Thuy-Nga Nguyen (Nagoya University); Yutaka Kozuma, Kenetsu Yokogawa, Masaru Izawa (Hitachi High-Tech Corp.); Kenji Ishikawa, Masaru Hori (Nagoya University)

Isotropic atomic layer etching (ALE) is crucial for the advancement of the semiconductor industry, particularly due to the integration of three-dimensional high aspect-ratio structures in next generation devices. A notable method for achieving isotropic ALE is the plasma-assisted thermal cyclic ALE, which uses plasma exposure for the modification step and infrared heating for the desorption step. This method allows precise control over etching characteristics, such as material selectivity and surface roughness, by independently adjusting the temperatures for the modification and desorption steps. This control offers a high degree of tunability in etching parameters. A specialized 300-mm tool, namely dry chemical removal (DCR) apparatus, which comprises an inductively coupled plasma source and infrared lamps, has been developed to support this process. Over recent years, selective ALE of various materials, including Si3N4, SiO2, TiN, W, and Co, has been demonstrated using the DCR apparatus. This paper presents the plasma-assisted thermal cyclic ALE of titanium carbide (TiC), commonly used in metal gate materials. To explore the etching mechanism, the experimental setup included a reaction chamber and in-situ X-ray photoelectron spectroscopy. Several TiC samples were exposed to radicals generated from the plasmas of fluorocarbon-based gas mixtures at a stage temperature of 20 °C. After plasma exposure, Ti 2p peaks indicating Ti–F bonds were observed, suggesting the formation of a titanium fluoride-based modified layer on the TiC surface. This modified layer desorbed from the TiC surface upon heating the samples at 100 °C, as evidenced by the disappearance of the Ti-F bond peaks. Cyclic etching tests were conducted by alternating between plasma exposure and infrared heating using the 300-mm DCR apparatus. The etching depth of TiC increased with the number of cycles, with an etched amount of 1.3 nm per cycle. The etching depth per cycle exhibited saturation behavior relative to the plasma-exposure time. Additionally, tests on stage temperature dependence revealed that self-limiting behavior was achieved only at a stage temperature as low as 20 °C, indicating that maintaining a low stage temperature is critical to prevent the desorption of reaction products during the surface modification step.

ALE-SuP-19 Atomic Layer Etching of Tantalum Nitride with Surface Fluorination Using Nf3 or Cf4 Plasmas
Hojin Kang, Minsung Jeon, Heeyeop Chae (Sungkyunkwan University (SKKU))

A comparative study on atomic layer etching (ALE) process window was carried out for tantalum nitride (TaN) using nitrogen trifluoride (NF3) and carbon tetrafluoride (CF4) plasmas. The TaN surface was fluorinated with NF3 or CF4 plasmas and the fluorinated surface was subsequently removed by Ar ion sputtering. The chemical composition and bonding of the fluorinated layer were confirmed using x-ray photoelectron spectroscopy (XPS). The Ta-Fx bonds were identified in NF3 plasma fluorination layer and Ta-Fx/Ta-Cx bonds were identified in CF4 plasma fluorination layer. The depth of fluorinated layer was examined at various plasma exposure times using secondary ion mass spectrometry (SIMS). The fluorination depth reached a conversion depth of 8.4 nm for NF3 plasma and 7.8 nm for CF4 plasma. The depth of the fluorinated layer by NF3 plasma was slightly deeper than that by CF4 plasma at the same fluorination time.This result can be attributed to the Ta-Cx bonds on the surface, which inhibit the diffusion of fluorine (F) radicals. In the removal step, a wider ALE process window was observed at 50 – 90 V with NF3 plasma compared to CF4 plasma at 70 – 90 V. The difference in ALE ion energy window can be attributed to the difference in electronegative energy between Ta-F layer formed by NF3 plasma and Ta-Fx/Ta-Cx layer formed by CF4 plasma. The etch per cycle (EPC) of TaN was saturated at 180 sec of Ar ion sputtering for both NF3 and CF4 plasma. After the ALE process, the F residue remained at 5% on the TaN surface fluorinated with NF3 plasma, whereas it was 3% in CF4 plasma.

Session Abstract Book
(376KB, Jun 24, 2024)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule