ALD2019 Session AF4-MoP: Plasma Enhanced ALD Poster Session

Monday, July 22, 2019 5:45 PM in Evergreen Ballroom & Foyer

Monday Afternoon

Session Abstract Book
(340KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule

AF4-MoP-1 Low-temperature Atomic Layer Deposition of Yttrium Oxide using tris(butylcyclopentadienyl)yttrium and a Plasma-Excited Humidified Argon
Kentaro Saito, Kazuki Yosida, Kensaku Kanomata, Masanori Miura, Bashir Ahmmad, Kubota Shigeru, Fumihiko Hirose (Yamagata University, Japan)
Yttria (Y2O3) is attracting much attention since it has a high dielectric constant and it is expected to be used as an oxide insulator in the field of large scale integration. Conventionally Y2O3 film has been deposited by chemical vapor deposition (CVD) and atomic layer deposition (ALD). These require heating at 200 °C or higher. This high temperature process is not suitable for the fabrication of flexible electronics. To decrease the process temperature, we developed a low-temperature ALD of Y2O3 using tris(butylcyclopentadienyl)yttrium ((BuCp)3Y) as the Y precursor and a plasma-excited humidified argon as an oxidizer.

We used a double-side polished p-type Si (100) with a size of 20 × 20 mm2 as a sample. Y2O3 ALD was performed by introducing a precursor from the heated container at 140 °C and the oxidizer alternately into a cumber at 80 °C. We designed the process condition of ALD as follows, (BuCp)3Y injection of 300 sec and plasma excited humidified argon of 600 sec. The evacuation times after the (BuCp)3Y and plasma excited humidified argon are 30 sec and 90 sec, respectively. We measured chemical status of Y2O3 films by X-ray photoelectron spectroscopy (XPS) to confirm the presence of Y2O3. We extracted a growth per cycle of ALD by spectroscopic ellipsometry. In fig. 1, we show a wide scan XPS spectrum obtained from the 80 °C grown Y2O3 film. Fig. 2 shows Y2O3 thicknesses as a function of ALD growth cycles. We estimated the growth per cycle of 0.084 nm/cycle.

In this work, we succeeded in 80 °C ALD of Y2O3. It must be possible to form Y2O3 films on most of flexible substrates by this process, because the process temperature was limited below 80 °C in this ALD process. In the conference, we show the related results and discuss the reaction mechanism.

View Supplemental Document (pdf)
AF4-MoP-2 Plasma Enhanced Atomic Layer Deposition of Silicon Nitride Thin Film by Organosilane Precursor and Process Engineering
Se-Won Lee, Changwon Lee, Moo-Sung Kim (Versum Materials Korea, Republic of Korea); Sang Yi, Xinjian Lei (Versum Materials, Inc.)

In this study, we demonstrated that a multi chloride ligand organosilane precursor with no oxygen content, designated as Precursor T to deposit SiN film at the identical deposition temperature for a possible low thermal budget and reliable device operating in industrial applications. Precursor T was used as a Si precursor, and NH3 and N2 were used as the reactants. Ar gas was used as a main and a carrier gas. Plasma enhanced ALD (PEALD) method was conducted to obtain SiN film at 300 oC wafer temperature. SiN PEALD deposition conditions with two different reactants are as follows; Precursor T/purge/NH3*/purge (process A,* denotes plasma use), Precursor T/purge/N2*/purge (process B), Precursor T/purge/NH3*/purge/N2*/purge (process C, applied additional N2 plasma after NH3 plasma) and Precursor T/purge/N2*/purge/NH3*/purge (process D, applied additional NH3 plasma after N2 plasma).

Deposited SiN film with process A and process C exhibited good stoichiometric film compositions at about Si : N ratio of 3 : 4 with low oxygen and carbon contents and excellent SC of more than 95 %. SiN film with process D also showed good stoichiometric characteristic but, SC was ~ 65 % which is not good property than the above two methods. SiN film with process B showed a higher level of impurities over 3 % carbon and chlorine and 20 % oxygen contents, and a poor SC less than 20 % was found. Consistent with the above results, the XRR results also differed significantly from the other three process in SiN film with process B. The film density from process A to D were 2.65, 2.44, 2.73, and 2.73 g/cm3, respectively, which show that the film density of process B are not perfect SiN film.

For the WER performance with 0.1% HF solution, SiN with process C shows the lowest WER of 10 A/min among the four conditions. (WERs of process A, process B and process D SiN films were 88, 187 and 15 A/min, respectively.) It is demonstrated that WER is improved with combinatorial deposition with NH3*/N2* compared to the deposition with NH3* or N2* alone.

In summary, we have deposited SiN film by using a multi chloride ligand organosilane precursor and ALD process design. SiN film was deposited with NH3 and N2 reactant gases by PEALD. Excellent SC and WER results were obtained for SiN film deposited with Precursor T/NH3*/N2* PEALD process. These results would be able to be applied to spacers or passivation layer, etc. by depositing SiN films with process engineering and would be expected to reduce thermal budget or to obtain reliable device operation.

View Supplemental Document (pdf)
AF4-MoP-3 Understanding the Effect of Plasma Gas Chemistry and Reactor Pressure on the Crystallinity of AlN Films Grown via Plasma-Assisted Atomic Layer Deposition
Saidjafarzoda Ilhom, Deepa Shukla, Adnan Mohammad, Necmi Biyikli, Brian Willis (University of Connecticut)
In this study we investigate the correlation between the structural properties of AlN thin films and the plasma gas composition as well as the ALD reactor pressure. Towards this aim, AlN films were grown on Si(100) substrates via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using trimethyl-aluminum (TMA) and Ar/N2/H2plasma as metal precursor and co-reactant, respectively. Growth saturation experiments have been carried out within 0-100 sccm range for each co-reactant gas, at 100W RF-plasma power and 200°C substrate temperature. In-situellipsometry was utilized to monitor the growth-per-cycle (GPC) characteristics and real-time surface reactions including chemisorption and plasma-assisted ligand removal and nitrogen incorporation events. GPC values showed a fairly constant behavior at lower pressures followed by a considerable decrease at ~1 Torr. Our real-time dynamic in-situmonitoring suggests that the decline in GPC at higher pressures, and thus higher co-reactant gas flow rates, is mainly due to incomplete removal of surface ligand groups. Each of the plasma gas content was decoupled by keeping two of the co-reactants at an optimal flow rate and changing one over the range of 5-100 sccm in order to observe the GPC behaviour. In general, decoupling of N2 and H2 showed that the GPC is reduced at lowest and highest flow rates with rather a higher and fairly constant value in between. On the other hand, for Ar the GPC increased under low flow rates followed by a decay at higher flow rates. Moreover, N2 and H2 plasma gas mixture were also decoupled in time domain to investigate the GPC behavior in such a regime. We have observed that GPC was reduced for shorter H2 plasma exposure times of 5 and 10 seconds followed with higher and constant value for longer durations, which was correlated to the incomplete ligand removal at the shorter H2 plasma exposure that was supported by the single-cycle real-time in-situmeasurements data. Also, extended 500-cycle long runs of AlN growth were carried out as a function of different plasma gas content and pressure. All AlN samples displayed single-phase wurtzite polycrystalline character, which exhibited preferred (002) crystalline orientation with peak intensity values changing as a function of Ar flow rate. View Supplemental Document (pdf)
AF4-MoP-4 Plasma Enhanced Atomic Layer Deposition of Aluminum and Aluminum Fluoride
Daniel Messina, Zhiyu Haung, Brianna Eller, Franz Koeck, Paul Scowen, Robert Nemanich (Arizona State University)

Plasma enhanced atomic layer deposition (PEALD) is an emerging energy enhanced ALD technique that utilizes plasma radicals to drive surface reactions rather than thermal energy, as in traditional ALD. PEALD allows for lower impurities, increased growth rates, improved stoichiometry, and lower deposition temperatures, which are essential for the development of high reflectivity VUV structures and multilayer Fabry-Perot bandpass filters. The goal of this project is to develop an oxygen and nitrogen free ALD process to grow both aluminum and AlF3 in the same chamber to enable UV optical filters that can be operated at <120 nm. The system is designed to deposit aluminum on a hydrogen terminated Si substrate, by sequential exposures of trimethylaluminum (TMA), an Ar purge, and a hydrogen plasma. The deposition of metal fluorides has been limited by difficulty in handling, and storing, anhydrous HF. The use of Pyridine-HF as the fluoride source reduces the risk for deposition of UV fluoride layers including AlF3 and MgF2. The process designed for the growth of AlF3 layers proceeds by exposing the fresh PEALD Al to HF followed by an Ar purge. The process is designed for growth of Al and AlF3 at 100-300° C. The PEALD system is connected to an X-ray photoelectron spectroscopy (XPS) system for elemental analysis and a UV spectrometer for far UV optical properties. Ex-situ characterization was conducted using atomic force microscopy (AFM), Rutherford backscattering (RBS), UV-VIS ellipsometry, and X-ray topography (XRT).

Research supported by NASA through grant NNX16AC30G.

AF4-MoP-5 High-temperature Hollow Cathode Plasma Enhanced Atomic Layer Deposition of Silicon Nitride (SiNx) Thin Films using Hexachlorodisilane (HCDS)
Su Min Hwang, Aswin L.N. Kondusamy, Qin Zhiyang, Harrison Sejoon Kim, Jiyoung Kim (University of Texas at Dallas); Xiaobing Zhou, Byung K. Hwang (Dow Chemicals)

Recently, plasma enhanced atomic layer deposition (PEALD) is expected to overcome the limitation of conventional LPCVD and PECVD processes and could be used to deposit conformal thin films in convoluted high aspect ratio structures with thickness scalability.1 A number of studies on PEALD SiNx process have been reported and mostly capacitively coupled plasma (CCP), inductively coupled plasma (ICP) or microwave (MW) plasma source was employed.2 The characteristics of the plasmas, such as low plasma density in CCP, high plasma damage in ICP and high oxygen contamination in MW, etc., can be problematic for film properties, especially wet etch resistance. On the other hand, hollow cathode plasma (HCP) can be applied for high-quality silicon nitride films due to its lower oxygen contamination, higher plasma density and lower plasma damage. Inspired by the unique characteristics of HCP, we proposed to explore the feasibility of high-quality PEALD-SiNx films by improving the films properties with HCP. Additionally, the relationship between plasma source and PEALD-SiNx films properties has rarely been studied.

In this work, we not only clarified the effect of plasma source on the oxygen contamination of PEALD SiNx films, but also achieved high-quality SiNx films using hollow cathode PEALD. PEALD system with ICP or HCP source was employed to study SiNx films comprehensively. Hexachlorodisilane (HCDS, Si2Cl6) was used as the silicon precursor due to its higher reactivity than SiCl4 and SiH2Cl2 while exhibiting a distinct self-limiting growth behavior. We explored the effect of process temperature (310−570 °C), precursor exposure, and plasma gas composition (NH3/N2 or NH3/Ar) on the film properties. Within a range of process temperature window (310−480 °C), the combination of Si2Cl6 and NH3 plasma showed the distinct self-limiting growth behavior at a low precursor exposure (5×102 L). In plasma source comparison, the SiNx films deposited with ICP source showed higher oxygen contamination (> 7.0 at%) than the HCP-PEALD SiNx films (> 3.5 at%). Particularly, the HCP-PEALD SiNx film deposited at 480 °C had the wet etch resistance in HF acid (> 0.4 nm/min, 200:1 HF) and film density (2.9 g/cm3) comparable to the LPCVD SiNx films. These result could pave the way for achieving high-quality SiNx films using the unique HCP source.

1 H.S. Kim, X. Meng, S.J. Kim, A.T. Lucero, L. Cheng, Y.-C. Byun, J.S. Lee, S.M. Hwang, A.L.N. Kondusamy, R.M. Wallace, G. Goodman, A.S. Wan, M. Telgenhoff, B.K. Hwang and J. Kim, ACS Appl. Mater. Interfaces 10, 44825 (2018).

2 X. Meng, Y.C. Byun, H.S. Kim, J.S. Lee, A.T. Lucero, L. Cheng, and J. Kim, Materials (Basel). 9, 1007 (2016).

View Supplemental Document (pdf)
AF4-MoP-6 Effects of Ion Bombardment in Plasma Enhanced Atomic Layer Deposition Processes
Hu Li (Tokyo Electron Technology Solutions Ltd., Japan); Tomoko Ito (Osaka University, Japan); Munehito Kagaya, Tsuyoshi Moriya (Tokyo Electron Technology Solutions Ltd., Japan); Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan); Masaaki Matsukuma (Tokyo Electron Technology Solutions Ltd., Japan)

Plasma enhanced technology has been widely used in the fabrication of thin films. In an atomic layer process, for example in a plasma enhanced atomic layer deposition (PEALD) process, chemically reactive plasma is expected to achieve high growth per cycle (GPC) at relatively low process temperature. A typical PEALD process may also be used in multiple patterning. In such patterning processes, much more precise resolution of film patterning is highly required for the nanoscale pattering. However, the film surfaces suffer the bombardment of energetic ion species, which are generated in the plasma. In such processes, film properties are affected. Therefore, the goal of this study is to clarify the effects of ions or radicals in the plasma enhanced atomic layer processes and gain a further understanding of deposition mechanisms.

In this study, we have estimated the sputtering yields of a SiO2 film deposited by a PEALD process, by an oxygen ion (O+) beam as well as inert gas species with the use of a mass-selected ion beam system. We have also examined the effects of low-energy ion bombardment on a precursor-absorbed SiO2 or Si surface. After precursor exposure, the surface was exposed to energetic argon ions (Ar+). The surface chemical compositions after ion bombardment were analyzed by in-situ X-ray Photoelectron Spectroscopy (XPS). From the XPS observations, it has been found that impurities, such as nitrogen (N) and carbon © originating from the organic precursor molecules, remain in the surface region even after Ar+ ion bombardment. We have also examined the effects of oxygen, which will be discussed in the presentation.

AF4-MoP-8 Microwave Generated Plasma Enhanced Atomic Layer Deposition of Oxides
Ji Hye Kim, Young Duck Tak, Young Bok Lee (ISAC Research Inc., Republic of Korea); Ales Poruba, Jarek Dolak (SVCS Process Innovation s.r.o., Czech Republic); Hyung Sang Park (ISAC Research Inc., Republic of Korea)

With the continued down scaling of devices and structure changed to 3-dimensional, new ALD processes are in great demand. Microwave surfatron plasma is considered new plasma source because it enables very low-temperature deposition and good film quality due to its low electron temperature and higher plasma density.

In this work, surfatron plasma source was incorporated to ALD reactor. We studied the characteristics of oxide films grown by microwave plasma enhanced ALD in the reactor. The films had high growth rate and low impurities, and they grew conformally on 6-inch Si wafer. The successful incorporation of surfatron plasma source to ALD reactor encourages the study of challenging ALD processes.

AF4-MoP-9 Epitaxial Growth of GaN by Plasma-Enhanced Atomic Layer Deposition
Sanjie Liu, Xinhe Zheng (University of Science and Technology Beijing, China)

In this work, the epitaxial growth of single crystalline GaN films on sapphire substrate is realized by plasma-enhanced atomic layer deposition (PEALD) at a growth temperature of 350 ℃. The XRD patterns show that the GaN films are single-crystalline and display a single hexagonal (002) phase. The high-resolution transmission electron microscopy reveals a nanoscale single-crystal GaN heteroepitaxy and a sharp interface. The full width at half-maximum of the X-ray rocking curve of the GaN epilalyers is 709 arcsec. The hetero-epitaxial GaN thin films have broaden its application in photovoltaic area, such as improving the performance of the solar cells.

View Supplemental Document (pdf)
AF4-MoP-10 Improving Plasma Enhanced Atomic Layer Deposition of Silicon Nitride with A Halodisilane
Byung K. Hwang, Changki Lee, Xiaobing Zhou, Anthony E. Foss (DuPont); Travis L. Sunderland, Andrew R. Millward (Dow Chemicals); Su Min Hwang, Jiyoung Kim, Antonio M. Lucero, Aswin L.N. Kondusamy (University of Texas at Dallas)

In our continuous evaluation of disilane compounds as silicon source precursors for plasma enhanced atomic layer deposition of silicon nitride films (PEALD SiN), a halodisilane is found to give a superior film-forming performance and film properties. The halodisilane having 99% purity was evaluated with ammonia plasma on a PEALD tool with a remote hollow cathode plasma source. SiN films were deposited at about 1 Å/cycle growth rate within the ALD window up to about 450 oC. This growth rate represents a 20% improvement over the growth rate of hexachlorodisilane (HCDS). The wet etch rate (WER) of the PEALD SiN films of the halodisilane is 50% lower than that of HCDS in 200:1 HF. The step coverage is comparable to that of HCDS in high aspect ratio trenches. The PEALD SiN film results of this precursor including saturation behavior, ALD window, composition, refractive index, uniformity, density, wet etch rate and step coverage are summarized and compared with those of HCDS and pentachlorodisilane (PCDS) in this presentation.

AF4-MoP-11 Characteristics of Silicon Nitride Film Deposited by Multi-electrode VHF (162 MHz)-PEALD
Ki Hyun Kim, Ki Seok Kim, You Jin Ji, Ji Young Byun (Sungkyunkwan University, Republic of Korea); Albert Rogers Ellingboe (Dublin City University); Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
Silicon nitride (SiNx) thin films are used as passivation layers, diffusion barrier materials, and structural materials for various micro-electro-mechanical systems (MEMS) due to their excellent chemical and mechanical properties. The conventional plasma enhanced chemical vapor deposition (PECVD) process couldn’t meet recent stringent requirements such as high conformality on a high aspect ratio pattern, high chemical stability, and high film density at low temperatures. To overcome these limitations, recently, atomic layer deposition (ALD) has received widespread attention as an alternative process for PECVD. In this study, a very high frequency (VHF, 162 MHz) multi-tile push-pull plasma source was applied to plasma enhanced atomic layer deposition (PEALD) of silicon nitride. As the silicon precursor and nitrogen atomic source, Di-isopropylamino Silane (DIPAS) and nitrogen (N2) plasma were used, respectively, and the characteristics of silicon nitride deposited by the 162MHz VHF PEALD were investigated. XPS data showed that the silicon nitride film deposited by 162MHz VHF PEALD has a high nitrogen percentage in the SiNx film which is close to the stoichiometric silicon nitride possibly due to a high dissociation rate of nitrogen at 162MHz VHF. Furthermore, a uniform step coverage of silicon nitride over a high aspect ratio trench (> 25 : 1) was confirmed by cross-sectional scanning electron microscope (SEM).
AF4-MoP-12 Characteristics of Low Damage Cobalt Films Deposited by Very High Frequency Plasma Enhanced Atomic Layer Deposition
Changhoon Song, WonKyun Yeom, Yeji Shin, Gyo Wun Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

In this study, to improve the properties of thin films deposited by plasma enhanced atomic layer deposition (PEALD), two different very high frequency (VHF) plasmas (60MHz, 100MHz)were used for the deposition of cobalt film by PEALD and, the characteristics of plasmas and cobalt films deposited by different frequencies were investigated. For the characterization of VHF plasmas, ion density and electron temperature were measured using a Langmuir probe. It is found that the higher frequency showed a higher plasma density with a lower electron temperature at the same rf power. When the NH radicals, which are required to remove the ligands of the cobalt precursor during the plasma exposure step in the ALD cycle, w ere measured by OES, the intensity of NH peak at 100 MHz was higher than that at 60 MHz, indicating that more NH3 plasma dissociation at the higher frequency. The composition and the RMS surface roughness of the deposited cobalt films were measured by XPS and AFM, respectively. AFM data showed the lower RMS surface roughness value at the higher frequency possibly indicating denser films due to more active surface reactions at the higher frequency. As a result, it is expected that the cobalt thin films deposited by the higher VHF PE-ALD will improve the characteristics of deposited thin films.

Keywords : Very high frequency (VHF) plasma, Atomic layer deposition (ALD), Cobalt, dissociation, radical

Session Abstract Book
(340KB, May 5, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule