AVS 70 Session TF-ThP: Thin Films Poster Session

Thursday, November 7, 2024 4:30 PM in Room Central Exhibit Hall
Thursday Evening

Session Abstract Book
(444KB, Jul 23, 2024)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS 70 Schedule

TF-ThP-1 Enhancing The Performance of Amorphous IGZO Thin-Film Transistors Via Oxygen Plasma Treatment
Jae-Yun Lee, Anvar Tukhtaev, Jonibek Elmurodovich Berdied, Xiao-Lin Wang, Han-Lin Zhao, Sung-Jin Kim (Chungbuk National University)

In this work we present significant improvement in the electrical characteristics of a 50 nm-thick a-IGZO layer deposited by radio-frequency (RF) sputtering after employing oxygen plasma treatment. After formation, the active layer was thermally annealed at 300 °C for 1 hour before beginning the oxygen plasma treatment. The effects of the plasma generator RF power were studied at 60, 120 and 180 W. The oxygen plasma was found to affect the optical absorption, surface roughness and the atomic composition of the thin film as well as the device performance of the TFTs based on the a-IGZO layers. The on/off current ratio and subthreshold swing improved significantly after the treatment compared to the device with the as-deposited a-IGZO layer. For the charge carrier mobility and threshold voltage however, the devices treated with oxygen plasma generated at 60 W showed the best performance, and both parameters have deteriorated at higher RF powers. Interestingly, at 180 W the mobility was reduced to and the threshold voltage increased over than that of the device with the as-deposited a-IGZO. The X-ray photoelectron spectra of the thin films were analyzed. It was found that the a-IGZO treated with oxygen plasma at 60 W RF power has the lowest ratio of OH groups which are often related to charge trapping in metal-oxide semiconductors. The optical band gap, as extracted from the Tauc plot, is the highest of this thin film, further suggesting decreased trap density, confirming the effect of traps on device performance. The atomic force microscope imaging showed that the surface roughness significantly decreases after the plasma treatment. This might explain the sharp improvement in the subthreshold swing, which is influenced by surface-related charge trapping. This study shows that the post-deposition oxygen plasma treatment of RF sputtering-deposited a-IGZO active layer is an effective way to enhance TFT performance by inducing favorable changes in the physical properties of the metal-oxide film.

Acknowledgements
This research was partly supported by Innovative Human Resource Development for Local Intellectualization program through the Institute of Information & Communications Technology Planning & Evaluation (IITP) grant funded by the Korea government (MSIT) IITP-2024-2020-0-01462 (34%), in part by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by Ministry of Education under Grant 2020R1A6A1A12047945 (33%), and in part by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education under Grant RS-2023-00249610 (33%).

TF-ThP-2 Surface Analysis of Nanolayers by LEIS, SIMS and XPS
Birgit Hagenhoff (Tascon GmbH); Jan Tröger (University of Münster); Elke Tallarek, Danica Heller-Krippendorf (Tascon GmbH)

Advanced and smart materials nowadays consist of various materials featuring layers and layer systems at the nanoscale. In order to monitor the development process as well as production and customer returns, analytical techniques are required which have an information depth suited for the layered samples.

Starting with information from the outermost atomic layer using Low Energy Ion Scattering (LEIS), adding Time-of-Flight SIMS (ToF-SIMS) for the outermost 3-5 monolayers and ending with X-ray Photoelectron Spectroscopy (XPS) for accessing up to 20 monolayers, a detailed insight can be gained into the composition of layers at the nanoscale. On the other hand, layer systems the structure of which is well known can help to better understand the performance features of the different analytical techniques.

In our ongoing studies we have compared analytical results from LEIS, ToF-SIMS and XPS for various layered systems. We will report on films produced by Atomic Layer Deposition (ALD) as well as core-shell nanoparticles. Amongst the three, XPS is the most often used technique in industry because of its power to obtain quantitative results. Our comparative data will help to put XPS data in a suited information depth perspective.

TF-ThP-3 Role of Solvent Treatment on the Structure and Thermoelectric Properties of oCVD PEDOT Films
Ramsay Nuwayhid, Travis Novak, Battogtokh Jugdersuren, Xiao Liu, Jeffrey Long, Debra Rolison (U.S. Naval Research Laboratory)
Vapor-phase routes to organic conducting polymers offer many advantages over more commonly studied solution-phase methods. Conductive polymers often require functionalization to be soluble in an appropriate solvent, and techniques such as spin-coating or drop-casting are generally only suitable for relatively flat substrates. Oxidative chemical vapor deposition (oCVD) is an alternative that allows for precise control of coatings over complex 3D substrates. oCVD can produce highly conductive conjugated polymer films, notably PEDOT, but compared to solution-phase PEDOT(:PSS) films, there has been little research into optimization of these films for thermoelectric applications. In this work, we demonstrate that post-deposition treatments with common organic solvents, such as dimethyl formamide (DMF), ethylene glycol (EG), or dimethyl sulfoxide (DMSO), significantly improve the Seebeck coefficient and resulting power factor for oCVD-grown PEDOT films. Given the lack of PSS in oCVD-grown films, much of the enhancement observed in spin-coated films after solvent treatments cannot be related to removal/segregation of the PSS phase, which is a common assertion. Despite this lack of PSS, we observe Raman changes very similar to those previously seen in PEDOT:PSS films, including the disappearance of peaks previously assigned to PSS. For oCVD-grown PEDOT, we find significantly reduced Cl content after solvent treatments, which likely de-dopes the PEDOT films and subsequently enhances the Seebeck coefficient. These results not only show solvent-treatments to be effective in improving the thermoelectric properties of oCVD-grown films, but also prove that many of the phenomena attributed to PSS in spin-coated films may be related to other chemical or structural changes in the PEDOT chain.
TF-ThP-4 Development of Low-K/ High-K Multilayers for Power Capacitors
Julie Chaussard, Hala Houmsi, Chloé Guérin, Aude Lefèvre (CEA-Leti); Patrice Gonon (LTM-CNRS); Vincent Jousseaume (CEA-Leti)

Power electronics gather many emerging applications such as electrification of transports. In power devices, voltage fluctuations can occur and damage GaN transistors, especially during switching phases. To prevent this, a snubber network can be used. It consists of a series capacitor and resistor connected in parallel to a transistor. Capacitor must have high capacitance, high breakdown voltage and thermal stability up to 150°C (operating temperature for electric vehicles). Furthermore, capacitor and resistor are usually surface-mounted devices and have disadvantages of low heat dissipation and large size. One way to miniaturize these passive components would consist in 3D integration on silicon wafers.

Today, polymer capacitors are widely used because of their low-cost manufacturing and high electric breakdown strength. However, thin films of polymer cannot be conformaly deposited into 3D structures. Also, most of polymers have low operating temperature, lower than the 150°C targeted. Among alternative non-polymeric materials, two groups stand out: high-k (with high dielectric constant but low breakdown voltage (Vbd)) and low-k materials (higher Vbd than high-K materials). A strategy has to be developed to find a compromise between high dielectric constant and high breakdown voltage. A promising way is to integrate high-k/low-k multilayers into a MIM (=Metal-Insulator-Metal) capacitor.

In this work, multilayers combining an organosilicate (SiOCH) as low-k and HfO2 as high-k were investigated. SiOCH thin films were deposited by plasma-enhanced chemical vapour deposition while HfO2 thin films were deposited by atomic layer deposition. Chemical and physical properties of the films were studied using FTIR, ellipsometry, X-ray reflectometry and X-ray diffraction. Then, different thicknesses and stacks were fully integrated into MIM capacitors on 200 mm Si wafers for electrical characterizations. Electrical parameters such as dielectric permittivity, dielectric losses, leakage current and breakdown field were measured. It is shown that the introduction of very thin HfO2 layer (in the 10 nm range) between SiOCH layers (50 nm thick) allow to increase the dielectric constant up to 11%. The use of more complex stack (i.e. up to 5 layers) further improves the C*Vbd parameter. This approach combining low-k and high-k looks promising for the realization of high-voltage 3D capacitors on Si.

TF-ThP-5 The Electrical, Sensory and Photocatalytic Properties of Graphene Oxide and Polyimide Implanted by Low and Medium Energy Gold Ions
Josef Novák (Nuclear Physics Institute of the CAS)

In our work, we focused on the investigation of the electrical, photocatalytic and sensory properties of graphene oxide (GO) and polyimide (PI) implanted by gold energetic ions. Gold ions (Au+) are favored for ion implantation into polymers due to several key reasons. Primarily, Au+ possesses exceptional electrical conductivity, rendering it highly suitable for establishing conductive pathways within the polymer matrix. Additionally, gold exhibits remarkable chemical stability, thereby minimizing undesired reactions with the polymer substrate during the implantation process. The implantation of Au+ ions is accompanied by crystallization and carbonization of the modified samples, which leads to the disruption of chemical bonds and the formation of network processes [1]. ]. These processes lead to the formation of conjugated systems that promote electric charge transport [2].

The organic non-conductive materials (GO, PI) were subjected to modification using low-energy Au+ ions (20 keV) and medium-energy Au+ ions (1.5 MeV). The ion implantation was conducted with three different ion fluences. At the lowest ion fluence (3.75×1012 cm−2), the formation of carbon islands may occur. The second ion fluence (3.75×1014 cm−2) induces the growth of carbon clusters and the formation of conjugated carbon bonds [2]. With the highest ion fluence used (1×1016 cm−2), the formation of metal nanoparticles is expected to take place [3].

The experimental research has been carried out at the CANAM (Centre of Accelerators and Nuclear Analytical Methods) infrastructure LM 2015056. This publication was supported by OP RDE, MEYS Czech Republic under the project CANAM OP CZ.02.1.01/0.0/0.0/16 013/0001812. The scientific results were obtained with the support of the; GACR Project No. 23-06702S and University of J. E. Purkyne student project UJEP-SGS-2023-53-007-2.

References

[1] V. Popok, V. Popok et al., Springer, 2019. p. 69-111

[2] J. Novak, E. Stepanovska, P. Malinsky, et al., Nucl Instrum Meth B 540, 199-209 (2023)

[3] P. Malinsky et al., Polymers 15, 1066 (2023)

View Supplemental Document (pdf)
TF-ThP-6 HfO2 Thin Film for Si and SiC MOS Capacitor
Rodrigo Reigota Cesar (Center for Electronic Components and Nanotechnology - CCSNano)

Silicon carbide (SiC) began to be studied in the late 1980s. Initially used as an abrasive material in cutting tools, it has become a highly promising material in the microelectronics industry due to its properties such as high thermal conductivity, high breakdown voltage, high mechanical hardness, large band gap, good chemical stability, high electron saturation velocity, and high thermal conductivity. Therefore, it is ideal for developing devices used in extreme conditions of power and/or temperature. It is widely used in the aerospace industry, in satellites, and nuclear reactors.

Another crucial aspect in the process of manufacturing devices with SiC substrate is surface passivation. This process completes the open bonds of carbon ions, thereby reducing surface defects and charge density. Various passivation methods exist, including oxidation, nitridation, doping with phosphorus ions, and treatment in a furnace with N2+O2 gas, with the latter being the most commonly used. In addition to these methods, another way to help reduce surface charge density in the final device is to utilize high-k dielectrics, such as aluminum oxide (Al2O3), titanium oxide (TiO2), hafnium oxide (HfO2), or even aluminum nitride (AlN). In this context, the aim of this work is to study HfO2 as a dielectric for MOS capacitors over SiC substrate previously passivated. In order to a better understanding of the results, the same device will be made over Si substrate and compare with the SiC results.

Initially, double side polished SiC n-type (0001) and Si n-type (100) wafers were used. The samples were cleaned with RCA cleaning. The SiC sample was subjected to a passivation process with N2+O2 plasma at room temperature. Then, 35 nm of HfO2 was deposited by RF sputtering on substrates top (Si and SiC), follow by a lithography process to define the area of the capacitors. To finish the MOS devices, 300 nm of aluminum was deposited by thermal evaporation to provide electric contact (top and bottom).

From Fig. 1 (left image) we observe that the capacitor on Si presented Cmax, Cmin, and VFB in the order of 10-6 F, 10-7 F, and 0.02 V, respectively, which are better values than those reported in the literature for this substrate. Fig. 1 (right image) shows that the capacitor on SiC presented Cmax, Cmin, and VFB in the order of 10-6 F, 10-7 F, and 4.34 V, respectively. It is noted that the capacitance values remained in the same order of magnitude, with the greatest variation observed for the VFB value. The literature reports this same positive shift for VFB values for HfO2 oxides, sometimes even reaching 10V. View Supplemental Document (pdf)
TF-ThP-7 Highly Improved Photocurrent Density and Power Conversion Efficiency of Perovskite Solar Cell by Inclined Plasma-Polymerized-Fluorocarbon Sputtering Process
Sang-Jin Lee (Chungbuk National University); Mac Kim (Korea Research Institute of Chemical Technology (KRICT))
Plasma-polymerized-fluorocarbon (PPFC) thin films offer a promising solution to enhance the efficiency and durability of perovskite solar cells (PSCs). The PPFC thin film is manufactured using mid-range frequency (MF) sputtering. We enhanced the performance of MF sputtering by imparting conductivity to the target through the mixture of PTFE or PFA powder with carbon nanotube powder. When deposited on a transparent substrate, PPFC increases total transmittance and reduces reflectance due to its very low refractive index (~1.38). Additionally, the film exhibits hydrophobic surface, excellent mechanical flexibility, and high chemical stability. Application of the PPFC AR film to flexible PSCs increases PCE from 18.6% to 20.4% while maintaining excellent mechanical flexibility (bending radius: 4 mm) and high chemical stability. Moreover, an inclined sputtering process simultaneously realizes the AR effect of PPFC coating and F doping's impact on a TiO2 electron transport layer (ETL). Consequently, Jsc in rigid-type PSCs rises from 25.05 to 26.01 mA/cm2, and PCE from 24.17% to 25.30%. Thus, PPFC thin films enhance the long-term stability of PSCs in humid environments. Finally, these AR PPFC thin films can be manufactured using a large-area process, rendering them suitable for future use in highly efficient PSCs.
TF-ThP-8 The Effects of Ultraviolet Irradiation and Mechanical Stress on Polymer-Like Hydrogenated Amorphous Carbon Thin Films
Thomas Poché, Rajib Chowdhury, Yonatan Tesfamariam, Seonhee Jang (University of Louisiana at Lafayette)
Polymer-like hydrogenated amorphous carbon (a-C:H) films have found use in many applications due to their desirable material properties. Compared to other a-C:H films, are characterized by having a high H content (40-50%) as well as a significant amount of C-H sp3 bond sites, and their properties are known to be highly tunable by various post-processing methods. One such method is ultraviolet (UV) irradiation, which can remove H from the film, assist in oxygen (O) absorption, and promote graphitization. The ability to selectively tune the properties of a film at specific locations is desirable for applications such as biomedical devices and warrants further research.For this study, a-C:H films were grown onto both rigid silicon (Si) (100) and flexible indium tin oxide/polyethylene naphthalate (ITO/PEN) substrates by the plasma-enhanced chemical vapor deposition of cyclohexane (CHex, C6H12) precursor. The a-C:H films were deposited at ambient temperature of 18-19 °C with varying plasma powers from 20 to 80 W. A 275 nm UV source was applied to the a-C:H films on rigid Si for various cure times of 1 and 4 hours, and various irradiances at 0.0022 and 0.0466 W/cm2. The most extreme of these curing conditions (4 hours at 0.0466 W/cm2) was applied to the a-C:H films on flexible ITO/PEN, prior to 10,000 cycles of repeated mechanical bending. The thickness, refractive index, extinction coefficient, and optical bandgap of the a-C:H films were measured by spectroscopic ellipsometry. The surface wettability of the films was measured by contact angle goniometry, while the surface morphology and roughness were measured by atomic force microscopy (AFM). The chemical composition and relative H content of the films was measured by Fourier-transform infrared (FTIR) spectroscopy.UV irradiation caused ablation of the film surface, decreasing the film thickness. Simultaneously, H was preferentially removed from the film while O was incorporated from the atmosphere. The films remained optically transparent and topologically smooth after the UV irradiation procedure. The surface wettability of the films increased substantially, while the optical bandgap values decreased. The FTIR analysis supported that H removal and O incorporation occurred throughout the a-C:H films during UV irradiation. The mechanical bending procedures caused no significant changes to occur within the UV irradiated a-C:H films, indicating their potential for application in flexible electronic devices. In summary, UV irradiation plays an important role in tuning the composition, and thus the properties of polymer-like a-C:H films.
TF-ThP-9 Adoption of UV-Di for Fabricating Electrically Enhanced Amorphous In-Ga-Zn-O Thin-Film Transistors at Low Temperatures
Giyoong Chung, Yong-Sang Kim (Sungkyunkwan University, Korea)

We investigated the electrical characteristics of sol-gel processed thin-film transistors (TFTs) and found that they are improved by the addition of UV-irradiated deionized water (DI water). The vulnerability of solution-processed metal-oxide semiconductors is significantly influenced by organic chemical-induced defects such as voids, holes, and organic residues. To address this issue, we introduced hydroxyl radicals (OH•), generated in DI water through an O3/UV process, into the In-Ga-Zn solution mixture to deposit IGZO active layers with fewer defects. The generation of hydroxyl radicals in DI water was confirmed by potassium iodide (KI)/ultraviolet-visible (UV-vis) spectroscopy analysis. The intensity of the absorbance peak at wavelengths of 290 nm and 350 nm increased with longer UV irradiation times on DI water. Additionally, we used the TG-DSC method to determine that organic materials in the IGZO solution mixture with ozonated water began to decompose at a lower temperature (121.6℃) than pristine IGZO solution mixture (144.5℃). Abrupt weight loss was also observed in the IGZO solution with ozonated water compared to pristine IGZO solution. The field-effect mobility and sub-threshold slope of the a-IGZO TFTs made with ozonated water were improved compared to the conventional process, increasing from 0.40 to 0.97 cm^2/V·s and decreasing from 0.34 to 0.29 V/dec, respectively. These results suggest that the addition of ozonated water to the sol-gel mixture is a simple method to achieve high-performance TFTs by reducing organic chemical-induced defects through low-temperature processing.

TF-ThP-10 Influence of Multi-Energy Ion Implantation of Cu and Ag Ions on the Electrical Properties of Graphene and Cyclic Olefin Copolymer Thin Films
Eva Štěpanovská, Josef Novák (Nuclear Physics Institute of the Czech Academy of Sciences); Petr Malinský (Nuclear Physics Institute of the Czech Academy of Science); Vlastimil Mazánek (University of Chemistry and Technology); Martin Kormunda, Luboš Vrtoch (J. E. Purkyne University); Anna Macková (Nuclear Physics Institute of the Czech Academy of Sciences)

Graphene (G) and cyclic olefin copolymer (COC) thin films with a thickness of 50 μm were subjected to multi-energy ion implantation of Cu+ and Ag+ ions. The ions were implanted with energies of (2.8, 2.0, 1.2) MeV and various fluences (1012, 1013, 1014) ions/cm2. Ion energy levels were chosen to create continuous layers within the organic materials, intersecting in a Gaussian distribution. Elemental changes were analyzed using Rutherford backscattering and elastic recoil detection analysis (RBS/ERDA), chemical bonds were monitored using X-ray photoelectron spectroscopy (XPS), infrared (IR) and Raman spectroscopy, and finally electrical properties were investigated using electrochemical impedance spectroscopy (EIS). With increasing ion fluence, the sheet resistance of the implanted layers decreases, indicating an increase in the material's electrical conductivity. This study provides a comprehensive insight into the changes in the microstructure and electrical properties of graphene and cyclic olefin copolymer thin films after ion implantation, which holds significant potential for applications in electronics and sensing.

TF-ThP-11 Study of Plasma-Polymerized Fluorocarbon Nano-Thin Films Deposited by Sputtering
Mac Kim, Jae Won Kim, Sang-Jin Lee (Korea Research Institute of Chemical Technology (KRICT))

Recently, plasma-polymerized fluorocarbon (PPFC) thin films produced by a sputtering process have been investigated as a possible replacement for conventional polytetrafluoroethylene (PTFE) coatings. In this study, we fabricated a new type of polymer target composed of a mixture of PTFE and functional fillers, and it was deposited as PPFC thin films using a large-area roll-to-roll sputter. The crystal structure of PPFC thin films is amorphous and unlike PTFE, which is composed of only CF2 bonds, PPFC thin films exhibit a chemical structure composed of CF, CF2, CF3, and C-CFn bonds. Despite these structural differences, PPFC thin films exhibit similar properties to PTFE, including water repellency, electrical insulation, chemical resistance, and heat resistance. And unlike opaque PTFE, it is highly transparent in the visible light region due to its amorphous structure. PPFC thin films deposited with a CNT-PTFE composite targets with 1 wt% CNT content showed 93.27% transmittance at 550 nm wavelength and water contact angle above 100o. By controlling the type and amount of CNTs and additives in the composite target, the surface hardness of the PPFC thin film could be increased from 0.58 GPa to 6.9 GPa. From these results, we confirm that a high hardness PPFC thin film with high hydrophobicity, transparency and flexibility can be fabricated via mid-range frequency roll-to-roll sputtering. Therefore, multifunctional PPFC thin films are effective in improving the performance of flexible displays or solar cells and can be applied as surface protective coating thin films for electronic devices.

TF-ThP-12 Effect of Vanadium Substitution for Electron Correlations in CrN Thin Films
Shu Sawaya, Khairul Abrar Bin Onn, Tsuneo Suzuki (Nagaoka University of Technology)

Chromium nitride (CrN), one of the strongly correlated electron systems, has attracted attention as a new material for magnetic-structural interactions due to its distinctive antiferromagnetic configurations. CrN exhibits a transition from high-temperature paramagnetic cubic to low-temperature antiferromagnetic orthorhombic crystals. Factors such as Cr/N ratio, polycrystalline/epitaxial, lattice distortion, thickness, substrate selection, and substrate orientation affecting the Néel temperature (TN). Experimental and theoretical investigations which has been held over past years have provided a good understanding of the structural and magnetic properties of CrN. However, the electrical properties of CrN are still under debate, with the electronic structure being of particular interest. The electronic structure near the valence band of CrN is mainly formed by 3d electron bands, and the generation of the band gap is attributed to the electron correlation of the d electrons. Contradicted results have been reported regarding the electrical properties of CrN, ranging from Mott-Hubbard-type insulator, charge-transfer-type insulator, to pure metal.These contradictory reports indicate a lack of understanding the fundamental electronic structure of CrN.

In this study, substitutional solid solution of CrN and vanadium nitride (VN), denoted as (Cr1-x,Vx)N were deposited by pulsed laser deposition to investigate the itinerant behavior of CrN in details. We focused on the electrical properties of (Cr1-x,Vx)N thin films in which the electron correlation effect was reduced with x as a variable. In order to evaluate the true properties of (Cr1-x,Vx)N, MgO(001) were used as substrates, which can be prepared as near single crystals by epitaxial growth. The solid solution of VN substitution into CrN was controlled by changing the Cr/V surface area ratio of the target.

Figure 1(a) and (b) show the temperature dependence of the electrical resistivity of (Cr1-x,Vx)N thin films deposited at substrate temperatures of 773 and 973 K respectively. At 773 K, CrN and VN exhibited metallic temperature dependence, whereas (Cr1-x,Vx)N, exhibited mostly insulating temperature dependence, contrary to expectations. Furthermore, the sample with x = 0.09 was exhibited no temperature dependence of resistivity. On the other hand, all samples prepared at 973 K exhibited metallic behavior. Systematic x-dependence was not observed in either result. The band gap caused by the difference in substrate temperature suggested that the electronic structure of (Cr1-x,Vx)N may not solely given by electronic correlations, but may also involve Anderson localization due to the crystal state.

View Supplemental Document (pdf)
TF-ThP-13 Poly(P-Phenylenediamine) by oMLD for Amine Functionalization of Polydioxanone for Biomedical Applications
Nazifa Z. Khan, Nikhila C. Paranamana, Xiaohua Liu, Matthias J. Young (University of Missouri-Columbia)

Polydioxanone (PDO) is an aliphatic polyester with excellent biocompatibility, flexibility, and biodegradability, and has been widely used as a surgical suture. However, lack of functional groups in the backbone of PDO limits its applications to other biomedical aspects. Here, we study vapor-phase oxidative molecular layer deposition (oMLD) of an amine-containing polymer, poly(p-phenylenediamine) (PPDA), onto PDO substrates. Our goal with this is to use vapor-phase infiltration to form PPDA within PDO and provide stable surface amine groups for subsequent grafting of biomolecules. Recent work established oMLD growth of PPDA at 150 °C. Here, a lower deposition temperature is necessary for compatibility with PDO. We study oMLD growth of PDO at 90 °C, both onto flat silicon substrates and within the spin-coated PDO polymer. We employ in situ quartz crystal microbalance (QCM) studies during oMLD growth to benchmark the deposition of PPDA at these lower temperatures. We also report ex situ spectroscopy and chemical analysis on flats to understand the extent of amine incorporation into PDO and the stability of this PPDA polymer in physiologically relevant solution conditions. The incorporation of amine groups onto the PDO surface will facilitate the addition of bioactive components into PDO to control cell-material interactions, therefore expanding its application in regenerative medicine.

TF-ThP-14 Tuning the Crystallinity of TiO2 Coatings Synthesized by an Atmospheric Pressure Dielectric Barrier Discharge
Nicolas Fosseur (Chemistry of Surfaces, Interfaces and Nanomaterials (ChemSIN), Faculty of Sciences & 4MAT, Engineering faculty, Université Libre de Bruxelles, Brussels, Belgium); Stephane Godet (4MAT, Engineering faculty, Université Libre de Bruxelles, Brussels, Belgium); Francois Reniers (Chemistry of Surfaces, Interfaces and Nanomaterials (ChemSIN), Faculty of Sciences, Université Libre de Bruxelles, Brussels, Belgium)

Titanium dioxide (TiO2) is a widespread semiconductor material present in numerous applications such as photovoltaic panels, various cosmetic products and batteries.Nowadays it is intensively studied for its depolluting action thanks to its photocatalytic properties. However, generating crystalline thin films using a cold plasma operating at atmospheric pressure is not trivial. This work focuses on the crystallization of anatase thin films, using a Plasma Enhanced Chemical Vapor Deposition method (PECVD). Different TiO2 films are synthesized in an atmospheric pressure dielectric barrier discharge system, enhanced with an original heating device [1,2], and analyzed using scanning electron microscopy (SEM), X-ray diffraction (XRD), X-rays photoelectron spectroscopy (XPS) and Infrared reflection-absorption spectroscopy (IRRAS). The ultimate goal of this research is to better control the crystal size and the crystalline structure which are decisive parameters in the efficiency of the photocatalytic effect. To do so, different plasma depositions were done with a total flow of 10 slm (15% of argon flow going in the bubbler, 15 % of O2 and 70 % of Argon carrier flow) and a deposition time of 20 minutes. By changing different parameters such as substrate temperature, power and frequency, a constant crystal size could be obtained and by increasing precursor temperature, crystal sizes ranges from 10 to 20 nm. At a larger scale, by tuning the frequency of the plasma generator, the coatings deposited exhibit different mean cauliflower-like size ranging from 570 to 975 nm, which influence the specific surface area. These preliminary results open a door on controlling more efficiently the crystal size, the crystal growth and the coating texture and density, which could help improving further photocatalytic applications.

Acknowledgments:
This project is funded by the FNRS PER Virusurf project and by the Fonds de la Recherche Scientifique – FNRS under the Synthesis of crystalline N-TiO2 via NTP project.

References:

[1] A. Remy, et al. Thin Solid Films, 688, 137437 (2019).

[2] A. Remy, F. Reniers. patent EP3768048A1 (2019).

Keywords: anatase, atmospheric pressure plasma, crystal size, bubbler temperature, photocatalytic application.

TF-ThP-15 Simultaneous Nanopatterning of SiO2 and Ru via Area-Selective Atomic Layer Deposition
Chi Thang Nguyen, Angel Yanguas-Gil, Jeffrey W. Elam (Argonne National Laboratory, USA)

Area-selective atomic layer deposition (AS-ALD) has emerged as a promising technique for precisely controlling thin film deposition on desired areas. When combined with other fabrication methods, AS-ALD can address existing challenges in nanopatterning fabrication. In this study, ruthenium AS-ALD was integrated with an area-selective etching (ASE) process to achieve self-aligned nanopatterns. Tricarbonyl (η4-2-methylene-1,3-propanediyl)Ruthenium(II) (TRuST) and O2 were used as as novel Ruthenium precursor and reactant, respectively, for Ru AS-ALD. The bis(N,N-dimethylamino)dimethylsilane (DMADMS) served dual roles: as a precursor in SiO2 ALD and as an inhibitor in the Ru AS-ALD process. During Ru AS-ALD, DMADMS selectively adsorbed on SiO2 surfaces, effectively blocking Ru film growth, but not on the Ru substrate surface. Subsequently, O3 was introduced as a counter reactant for SiO2 ALD, reacting with DMADMS-adsorbed SiO2 surfaces to grow ALD SiO2 and simultaneously etching Ru from the Ru substrate surface. By controlling the selectivity of Ru using DMADMS and the O3 exposure time, desired thicknesses of Ru and SiO2 films were achieved, enabling controlled Ru/SiO2 nanopatterning in a single combined AS-ALD and ASE process. The adsorption of the DMADMS inhibitor, the selectivity and etching of Ru, and the growth of SiO2 films were investigated using several analytical techniques including X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), scanning electron microscopy (SEM), in-situ spectroscopic ellipsometry (SE), and in-situ quadrupole mass spectrometry (QMS).

TF-ThP-16 Deposition of Novel (Cr,Yb)(N,O) Thin Films and Its Mechanical Properties
Khairul Abrar Bin Onn, Tsuneo Suzuki (Nagaoka University of Technology)

The substitution of ternary and even quaternary elements into chromium nitride (CrN) based thin films has been documented to significantly enhance their mechanical properties. This is particularly relevant in response to the growing demand for coatings that provide high hardness, wear resistance, and oxidation resistance. Specifically, ternary systems such as Cr-Al-N and Cr-Ti-N, based on binary Cr-N thin films, have attracted substantial interest within the academic and industrial research communities. These systems are being intensely studied for their potential to meet the stringent requirements of advanced coating applications. Recent studies have particularly focused on the incorporation of ytterbium (Yb) as a ternary element in Cr-Yb-N thin films. The findings have shown that the addition of Yb markedly improves the hardness of the films compared to pure CrN thin films. This enhancement is attributed to the unique properties of ytterbium, which can influence the microstructure and stress distribution within the film. Moreover, ytterbium(II) oxide (YbO), which crystallizes in the same rock-salt structure as CrN, presents a promising avenue for substitution solutions. The structural compatibility of YbO with CrN suggests that it could be integrated into CrN thin films without significant lattice mismatch, potentially leading to improved film performance.

In this study, homogeneous chromium nitride (CrN) thin films with ytterbium (Yb) incorporated as a ternary element and oxygen (O) as quaternary element denoted as (Cr,Yb)(N,O) were deposited using the pulsed laser deposition (PLD) technique. The deposition process was conducted on silicon (Si (001)) and glassy carbon (G.C.) substrates, ensuring ultrahigh vacuum conditions with pressures maintained below <1×10-6 Pa. The substrate temperature (TSub)was consistently held at 773 K to facilitate optimal film growth and adhesion. Cr and Yb metals were used as targets, with the surface ratio of Yb controlled at 10%. Oxygen gas through variable leak valve and nitrogen radicals was introduced into the deposition chamber, creating a controlled atmosphere. The deposited thin films were characterized using X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), and nanoindenter. These provided insights into crystallographic structure, elemental composition, and mechanical properties, respectively. XRD analysis indicated peak shifts to lower angles with oxygen content below 10% and to higher angles above 10%, suggesting changes in lattice parameters. Nanoindentation results showed maximum hardness for oxygen content below 10%.

TF-ThP-17 Direct Growth of Molybdenum Disulfide from Metal Contacts via Atomic Layer Deposition
John Hues, Elton Graugnard (Boise State University)

As current silicon-based transistor devices begin to approach the fundamental material scaling limits of silicon, new designs and material integration methods are required to meet the ever-increasing demand for greater computing power and memory storage densities. Molybdenum disulfide (MoS2) is one material in the two-dimensional materials class which is a potential replacement for silicon within next generation microelectronic devices to enable further device scaling due to its high electron mobility, even when in monolayer form. High quality MoS2 is required for integration into microelectronic devices as even small concentrations of defects can significantly impact the electrical properties of the monolayer film. Synthesis of monolayer MoS2 which is of sufficient quality for integration into microelectronic devices while maintaining processing temperatures that are within the allowable thermal budget of back end of line processing has proven difficult. In this work we demonstrate a method of growing crystalline MoS2 directly from contact metals via atomic layer deposition (ALD) using molybdenum hexafluoride and hydrogen sulfide as the reactants. Several different contact metals including tungsten, molybdenum, nickel, and platinum were used for blanket studies to determine the ability to deposit crystalline MoS2 at low temperatures. Raman spectroscopy was used to examine the crystallinity of the deposited films and x-ray photoelectron spectroscopy was utilized to determine the chemical composition of the deposited films. To obtain high quality MoS2 test structures, contact metals were patterned to template direct ALD of MoS2. Following MoS2 deposition the samples were again characterized using Raman spectroscopy and atomic force microscopy to determine the crystallinity and morphology of the resulting MoS2 film.

TF-ThP-18 Unlocking the Potential of Porphyrin-Based Covalent Organic Frameworks Through Vapor-Phase Synthesis of Thin Films: Process Optimization
Mohammad Arham Khan, Vojislav Medic, Syed Ibrahim Gnani Peer Mohamed, Mona Bavarian, Siamak Nejati (University of Nebraska Lincoln)

Porphyrin-based covalent organic frameworks (COFs) hold immense promise in various applications such as catalysis, solar cells, biomedicine, and environmental science due to their intrinsic porosity and programmable function. However, the insolubility of most two and three-dimensional covalently bonded materials poses a challenge for their integration as electroactive components. To address this limitation, thin-film growth techniques have emerged as a pivotal approach, particularly in the case of 5,10,15,20 tetra-4-aminophenyl porphyrin (TAPP) based COFs (POR-COFs). This work explores the vapor-phase synthesis route for the growth of POR-COF thin films, facilitating their integration into complex geometries for diverse applications. The synthesis process involves sequential delivery of TAPP precursor molecules and oxidants into the reaction zone, with excess materials and byproducts removed during purge cycles. Temperature and pressure are identified as key parameters governing the deposition process, alongside the exposure time of the substrate to impinged molecules. Through meticulous examination utilizing quartz crystal microbalance (QCM), atomic force microscopy, ellipsometry, and scanning electron microscopy, the effects of temperature and pressure on thin-film thickness and deposition rate are elucidated. The correlation between process parameters and surface coverage is investigated to enhance film quality and gain deeper insights into the growth process of POR-COFs thin films. This research not only contributes to the understanding and optimization of thin-film growth techniques but also paves the way for the utilization of COFs in various advanced applications.

TF-ThP-19 The Stability of Lif-Capped Fluorinated Aluminum Films When Irradiated with Electrons
Devin Lewis, David Allred, Richard Vanfleet (Brigham Young University)

Aluminum thin films are an ideal broadband reflectors for application including space observatories. However, oxide forms on freshly-deposited Al when it is exposed to oxygen or water vapor. This oxide layer absorbs a large portion of far ultraviolet (FUV) wavelengths. In order to prevent oxidation a barrier layer layer is deposited on top of Al mirrors. To preserve high FUV reflectance it is usually a low Z metal fluoride, such as MgF2, AlF3 or LiF. Of the commonly used metal fluorides, LiF has the best FUV transparency. However, it is susceptible to radiation damage. Many studies have shown that the electron beam in an electron microscope (SEM or TEM) can damage halide salts such as sodium chlorides and bromides. One such process termed radiolysis. Alkali halide salts are known to be most sensitive. The halide anion disappears as does the cation. Since mirrors on space telescopes will also be exposed to the solar wind and coronal mass ejection (CME) understanding the degradation mechanism and kinetics was deemed a high priority. We have studied the radiolysis of fluoride films important to FUV optics, mainly LiF films. The first damage is a roughening of the surface and a decrease of the fluorine peak in energy dispersive x-ray analysis (EDX). Note that, lithium (Z = 3) cannot be detected by x-ray florescent techniques since its electrons are not sufficiently bound. In some cases, LiF is fully removed from the scanned region after beam exposure.

TF-ThP-20 Achieving a Low-Voltage Operation Indium Gallium Zinc Oxide Thin Film Transistor Through Optimized Crystallinity ZrO2 Gate Insulator
Hanseok Jeong, Soo Min Yoo (Kyunghee University); Minki Choe, In-Hwan Baek (Inha University); Woojin Jeon (Kyunghee University)

The indium gallium zinc oxide (IGZO) has been widely investigated as the active layer for the display backplane thin-film-transistor (TFT). In ultra-high-resolution displays, reducing transistor size per pixel results in submicron-scale channel lengths.[1] Consequently, the short-channel effects become a concern as TFT channel lengths decrease to the submicron scale. Novel architectures such as 3-dimensional vertical or FinFET structures would be developed. The fast-operation speed and low-voltage operation of TFTs are made possible by using the gate insulator (GI) with a high-dielectric-constant (k) value. Among many high-k materials, ZrO2 has a high-k value (28) and a suitable band gap (5.8 eV).[2] Atomic layer deposition (ALD) has the advantages of conformal depositions, thickness/composition controllability of thin films, and thin film quality due to its self-limiting growth behavior.

High-k materials exhibit higher dielectric constants compared to amorphous-phase SiO2 due to their crystallinity.[3] However, high-k materials used as GI result in TFT performance degradation due to increased surface roughness caused by crystallinity, increasing surface scattering, and coulomb scattering. In the previous study, our research group controlled the crystallinity of ZrO2 by varying the deposition temperature and optimized ZrO2 ALD processes in Mo/ZrO2/IGZO metal-insulator-semiconductor structures.[4] In this study, we investigated ALD-derived IGZO TFTs using an optimized ZrO2 ALD process.

The ZrO2 film was deposited by the thermal ALD process using Cyclopentadienyl Tris(dimethylamino)Zirconium (CpZr), and ozone (O3). The IGZO film was deposited by thermal ALD process using STIn-7-7, trimethyl gallium (TMGa), and diethyl zinc (DEZ) precursors. O3 was used as a reactant. The deposited film characteristics were evaluated using glancing incidence X-ray diffraction, atomic force microscope, and X-ray fluorescence spectroscopy. The ALD-derived IGZO TFTs with a bottom gate staggered structure were fabricated. The electrical properties of the TFTs were measured using a 4156C precision semiconductor parameter analyzer.

AcknowledgmentsThis work was supported by the BK21 Plus program.The authors would thank SK Trichem for their support and permission to publish this collaborative work.

References

[1] M. Cho et al., ACS Appl. Mater. Interfaces, 13, 16628-16640 (2021)

[2] J. C. Garcia et al., J. Appl. Phys., 100, 104103 (2006)

[3] L. Manchanda et al., Microelectron. Eng., 59, 351-359 (2001)

[4] M. Nam et al., Adv. Mater. Interfaces, 11, 11, 2300883(2024)

TF-ThP-21 Suppressing the Interfacial Layer Formation between Metal Electrode and Insulator by Employing Molybdenum Dioxide Electrode
Jaehyeon Yun, Seungyeon Kim, Chaeyeong Hwang, Woojin Jeon (Kyung Hee University)

Semiconductor devices, especially dynamic random-access memory (DRAM), are achieving high-density integration and remarkable technological development continuously. At the forefront of advancement in the DRAM device was the introduction of high dielectric constant (high-k) materials, which significantly increased its memory capacity. Especially, since the introduction of ZrO2 as a high-k material, TiN electrode has been widely used as the electrode for DRAM capacitor application, owing to its crystallinity coherent with ZrO2 [1][2]. However, due to the oxygen scavenging effect of TiN, low-k TiOxNy interfacial layer is formed between the TiN electrode and oxide. The oxygen scavenging effect generates oxygen vacancies in ZrO2, leading to increase in leakage current. This is because the leakage current mechanism of ZrO2 is attributed to the presence of oxygen vacancy [3]. Additionally, meeting the requirement of low leakage current for practical DRAM applications in aggressively scaled devices becomes challenging since the TiN electrode has a low work function (~4.3 eV), which reduces conduction band offset [3]. Therefore, in this work, we investigated suppressing the oxygen scavenging effect of TiN electrode using molybdenum dioxide (MoO2) electrodes, aiming to reduce the concentration of oxygen vacancy in the ZrO2 and leakage current.

MoO2 is proposed as a novel oxide electrode for DRAM capacitor, owing to its high work function and excellent chemical stability [2]. To mitigate the oxygen scavenging effect of TiN and reduce leakage current, we compared TiN and MoO2 electrodes in ZrO2-based metal-insulator-metal (MIM) capacitors and analyzed the oxygen vacancy defects and electrical properties. Oxygen vacancy defects in ZrO2 were investigated through AC nonlinearity in the C/C0–Vac (where C0represents capacitance at zero Vac) [4] and XPS analysis. This revealed a reduction of defect sites induced by oxygen vacancy within ZrO2 when introducing MoO2 electrodes. Moreover, it should be noted that the decrease in defect sites induces a reduction in leakage current.

Reference

[1] Hwang C. S., Adv. Electron. Mater., 1, 1400056 (2015).

[2] Kim, Y. W., et al, J. Mater. Chem. C., 10, 12957 (2022).

[3] Jeon W, J. Mater. Res., 35, 775 (2020).

[4] Han, D. H., et al. IEEE Trans. Electron Devices, 68, 5753 (2021).

TF-ThP-22 Controlling the Electrical Properties of ZrO2 Dielectric Films by Employing Sc2O3
Nam Jihun, LEE Seungwoo, Choi Yoona, Jeong Jonghwan (Kyunghee University); Oh Hansol, Kim Hanbyul, Park Yongjoo (SK Trichem); Jeon Woojin (Kyunghee University)

Dynamic random-access memory (DRAM) is extensively employed in various industrial sectors as a prominent semiconductor component. Among them, there is a trend toward scaling down DRAM capacitors with MIM (metal-insulator-metal) structure to achieve high capacitance and low leakage current (<10-7A/cm2) at operating voltage. However, scaling down also resulted in decreased the thickness, thereby reducing the area of capacitor and subsequently decreasing the amount of charge that can be stored. Consequently, high dielectric constant materials such as ZrO2 and HfO2 are employed as insulators to mitigate this issue. Hence, the conventional DRAM MIM capacitor commonly employs TiN for both top, bottom electrodes, while utilizing ZrO2 as the insulator. ZrO2 exists in three phases: monoclinic, tetragonal, and cubic. Among them, ZrO2commonly used in DRAM is manufactured via an atomic layer deposition process and exhibits a high dielectric constant (~40) in its tetragonal phase. However, there are several problems. Crystallized ZrO2 monolayers exhibit high leakage currents due to carrier conduction paths formed by grain boundaries.[1]

Therefore, in this presentation, we demonstrate the results of employing Sc2O3 to improve the electrical properties of ZrO2 dielectric film. Sc2O3has a high dielectric constant (ε = 13) and a large band gap (Eg=~6 eV). [2]We expect that the wide band gap of Sc2O3 will serve as a barrier in the carrier conduction path. Using these properties, we conducted two experiments via the ALD process. First, we examined the effectiveness of Sc doping into ZrO2. Second, we precisely inserted a Sc2O3 monolayer between ZrO2 insulating layers to see how it affects the performance of ZrO2 based MIM capacitor. Moreover, we performed crystal phase analysis and evaluation of electrical properties to investigate the effect of Sc incorporation.

Acknowledgments: This work was supported by the BK21 Plus program. The authors would like to thank SK Trichem for their support and permission to publish this collaborative work.

References:

[1] W. Jeon, J. Mater. Res, 35, 7 (2020)

[2] M. Pachecka et. al., AIP Adv.7, 105324 (2017)

TF-ThP-23 Influence of Different Oxygen Sources on the Optical Properties of HfO2 Films Grown by Atomic Layer Deposition
Blerina Xherahi (Community College of Philadelphia, Philadelphia, PA 19130,USA); Sam Azadi, David Barth, Lucas Barreto (Singh Center for Nanotechnology, University of Pennsylvania, Philadelphia, PA 19104, USA)

Hafnia (HfO2) stands as a promising option for substituting SiO2 on transistors due to its high dielectric constant. Furthermore, its high band gap provides transparency over a wide spectral range, which makes it applicable for optical coatings. Hafnia also presents a high index of refraction and excellent thermodynamic stability, and its orthorhombic phase exhibits a ferroelectric response. Among the different HfO2 fabrication strategies, atomic layer deposition (ALD) is a reliable method for obtaining high-quality conformal hafnia films. Adjusting the process parameters and using different precursors can lead to variations in the resulting film properties. This work evaluates how the deposition temperature and the ALD oxygen source change the hafnia films deposited on Si(100). We use tetrakis(dimethylamido)hafnium (TDMAH) as the metal precursor, and we compare the properties of the films for two distinct oxygen precursors: H2O and O3. We measure the deposition rate and index of refraction using ellipsometry and correlate the results with the ALD oxygen source and deposition temperature. The results of this work provide insights for adjusting ALD deposition conditions to tune hafnia properties.

TF-ThP-24 ZnSe as Window Layer for n-CdTe Solar Cells
Wei Wang, Vasilis Palekis, MD Zahangir, Sheikh Elahi, Chris Ferekides (USF Tampa)

ZnSe as Window Layer for n-CdTe Solar Cells

To overcome the VOC bottleneck for traditional p-CdTe solar cells, polycrystalline n-type CdTe thin films were used as the absorber layer. Polycrystalline n-type CdTe films were deposited by the elemental vapor transport (EVT) process. The EVT process can be used to deposit CdTe films under Cd- or Te-rich conditions to facilitate extrinsic doping. Indium as n-type dopant is used to increase the CdTe conductivity. A proper p-type partner is critical to form a p-n junction with the n-CdTe absorber. A ZnSe layer was used as the p-type partner of n-CdTe solar cells. The device structure includes Glass/ITO/CdS/n-CdTe/p-ZnSe:Cu/ITO. The ZnSe layer was deposited by RF sputtering followed by the deposition of a thin Cu layer. This work investigated the effect of ZnSe as a window layer for n-CdTe solar cell, specifically: (1) the substrate temperature during ZnSe deposition; (2) Cu thickness. In addition, the effect of ZnSe as an interfacial layer for n-CdTe/p-ZnTe solar cell was studied as well. The device structures were characterized by current-voltage (J-V), spectral response (SR), and capacitance-voltage (C-V) measurements.

ZnSe thin film as an interfacial layer for n-CdTe/p-ZnTe devices causes a “kink” in the J-V curve, which is due to the large valence band offset at CdTe/ZnSe. Two substrate temperatures of depositing ZnSe thin films were studied (i.e., 250℃ and 350℃), when it was used as p-type partner of n-CdTe solar cell. The devices where the ZnSe films was deposited at 350℃ showed higher carrier collection from the SR data. Cu layers with thicknesses of 5Å and 15Å were deposited after ZnSe. Devices with larger amount of Cu exhibit lower carrier collection resulting in lower device performance.

TF-ThP-25 Understanding the Surface Chemistry of Tin Halide Perovskite Thin Films
Mirko Prato, Antonella Treglia, Isabella Poli, Annamaria Petrozza (Istituto Italiano di Tecnologia)
Tin halide perovskites (THP - general formula: ASnX3, A: Cs+, MA = CH3NH3+, FA = NH2CHNH2+; X: I, Br, Cl) have emerged as promising alternatives to toxic lead perovskites in next-generation photovoltaics.

One of the overwhelming obstacles to improving device performance is the high carrier concentration originating from Sn vacancies, resulting in self p-doping and affecting the optoelectronic properties of the material. Simultaneously, the facile oxidation of Sn2+ to Sn4+ further contributes to increasing the p-doping in the bulk and non-radiative recombination centers on the surface.

One effective approach to reduce the presence of oxidized species within the material is to add reducing agents directly within the precursor solution in the form of additives. The most used and effective is SnF2, which promotes a slower crystallization and improved film quality and effectively limits the self-p doping effect.

While the oxidation of Sn perovskites and its suppression are frequently discussed in the literature, the mechanisms involved and the role of SnF2 in protecting the film against oxidation are still uncertain and under debate. We therefore investigated the role of tin fluoride in defining the complex surface chemistry of tin halide perovskite thin films, prepared via solution processing. We show that oxygen is found on the surface of tin perovskite thin films even if never exposed to ambient air; however, the use of SnF2 in the precursors solution strongly affects the chemical nature of the found species. Indeed, oxygen primarily binds to tin in the form of SnO2 only when SnF2 is added to the precursor solution, while it is mainly due to adventitious species when SnF2 is not used. We therefore highlight that the presence of a predominant single chemical state in the XPS Sn core level does not necessarily correspond only to Sn2+ species in the perovskite form but could also indicate the formation of superficial SnO2. Finally, we show that SnF2 does not help in avoiding nor slowing down the degradation of the perovskite film when exposed to ambient air and that oxidation occurs on the whole-grain surface. These results provide insightful guidance toward understanding oxidation in tin halide perovskites and elucidating its detrimental effect onmaterial’s properties.
Session Abstract Book
(444KB, Jul 23, 2024)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS 70 Schedule