AVS2018 Session PS+EM+TF-ThM: Atomic Layer Processing: Atomic Layer Etching

Thursday, October 25, 2018 8:00 AM in Room 104C

Thursday Morning

Session Abstract Book
(290KB, May 6, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule

Start Invited? Item
8:00 AM PS+EM+TF-ThM-1 Precise Flux Control of Ions and Radicals using Electron Beam Generated Plasmas
David Boris (U.S. Naval Research Laboratory)

Processing with atomic layer precision requires the ability to not only add, remove or modify one monolayer of material but to also leave adjacent layers unchanged. This requires fine control over the flux of species and energy deposition at the surface. In addition to the need for low damage, the complex device structures proposed for next generation nano-electronics will require control over radical to ion ratio as well. Electron beam-generated plasmas are generally characterized by high charged particle densities (1010- 1012 cm-3), low electron temperatures (0.3 - 1.0 eV), and in reactive gas backgrounds, a relatively low radical production rate compared to discharges. These characteristics provide the ability to precisely control the ion energy at adjacent surfaces and importantly, also the ability to control the ratio of ion to radical fluxes. In this work, we demonstrate this precise level of control using a variety of plasma characterization techniques and demonstrate how the applicability of these features to the processing of select materials systems. Specifically, we will discuss the processing of monolayer material systems such as graphene and MoS2, where the material properties can be tuned without unwanted erosion or damage. Also SiN etching using pulsed, electron beam generated plasmas produced in SF6 backgrounds is examined with particular attention paid to the etch rates, selectivity (vs. carbon films, Si and SiO2), and patterning as function of operating parameters such as relative gas concentration, operating pressure, and substrate bias. Lastly, we address the use of electron beam generated plasmas for native oxide removal and subsequent passivation of surfaces. The processing results are compared with plasma diagnostics to gain a better understanding of the process requirements. This work is partially supported by the Naval Research Laboratory base program.

8:40 AM PS+EM+TF-ThM-3 Demonstration of Self-limiting Nature and Selectivity Control in Annealing Procedures for Rapid Thermal-Cyclic ALE of W, TiN, and SiN
Kazunori Shinoda, Hiroyuki Kobayashi (Hitachi, Japan); Nobuya Miyoshi, Kohei Kawamura, Masaru Izawa (Hitachi High-Technologies, Japan); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Isotropic atomic layer etching (ALE) is expected to play an important role in semiconductor manufacturing because the next-generation devices will have miniaturized three-dimensional structures. The authors have developed isotropic ALE for SiN, TiN, and W using rapid thermal-cyclic processes, which are cyclic repetitions of plasma exposure and infrared annealing [1, 2]. Isotropic ALE ideally consists of cyclic repetitions of self-limiting formation and self-limiting desorption of the surface-modified layers. In the previous publications, the authors demonstrated self-limiting nature of the plasma exposure steps. In this paper, self-limiting nature of the infrared annealing steps are demonstrated. It is also demonstrated that etching selectivity between different materials can be controlled to be from infinitely selective to nonselective by adjusting the infrared annealing time.

The experimental apparatus used in this study is an ALE tool for 300-mm wafers. This tool is composed of a processing chamber, an inductively-coupled plasma source, infrared lamps, and in-situ ellipsometer. Films of W, TiN, and SiN deposited on Si substrates were used as sample materials. The wafers were first exposed to hydrofluorocarbon-based plasma to produce surface-modified layers on the surfaces of the materials to be etched. The wafers were then annealed by infrared irradiation to remove the surface-modified layers. The cycle of plasma exposure and infrared annealing was repeated ten times.

Firstly, infrared annealing time dependence of wafer temperature was examined. It was found that wafer temperature increased with increasing irradiation time at around 7°C /sec. Next, we examined how the annealing time affected the etched amount per cycle for W, TiN, and SiN. In the experiment, ten-cycle etching was repeated changing the annealing time in the range from 0 to 24 sec. The etched amount per cycle for W increased with the annealing time and saturated when the annealing time exceeded 10 sec. The etched amount per cycle for TiN increased when the annealing time exceeded 10 sec and saturated when the annealing time exceeded 20 sec. The etched amount per cycle for SiN saturated when the annealing time exceeded 15 sec. These results imply that the ALE process for W, TiN, and SiN are self-limiting in nature. Moreover, by choosing an optimal infrared annealing time, both highly selective and nonselective ALE for different materials was obtained. For instance, infinitely selective ALE of W over TiN was achieved when infrared annealing time was 8 sec.

[1] K. Shinoda et al., J. Phys. D: Appl. Phys. 50, 194001 (2017).

[2] K. Shinoda et al., SPIE Advanced Lithography 10589-17 (2018).
9:00 AM PS+EM+TF-ThM-4 Mechanisms for Atomic Layer Etching of Metal Films by the Formation of Beta-diketonate Metal Complexes
Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)
Ar+ ion milling processes have been widely used for the fabrication of magnetic tunnel junctions (MTJ) of magnetoresistive random access memory (MRAM) devices. However, Ar+ ion milling has a problem of surface damage caused by high energy ion bombardment, so the development oflow-energy reactive ion etching (RIE) processes is imperative for further miniaturization of MTJ cells. In recent years, beta-diketones such as acetylacetone (acac) andhexafluoroacetylacetone (hfac) have been considered as efficient etchants for thermal atomic layer etching (ALE) of metal films by the formation of volatile beta-diketonate metal complexes. Moreover, if low-energy ion incidence, rather than heating of the substrate, enhances the formation of organic metal complexes and their desorption from the metal surface, anisotropic ALE of metal films may be achieved. In this study, we explore the possibility of the development of such ion-enhanced metal surface etching using surface reactions of beta-diketones. The objectives of our research are, therefore, to understand surface reactions between gas-phase beta-diketones and metal surfaces and to clarify the beam-surface interaction between low-energy Ar+ ions and beta-diketone adsorbed metal surfaces. To achieve these objectives, we have developed an atomic layer process (ALP) surface analysis system, which consists of a high-resolution X-ray photoelectron spectroscopy (XPS) analysis chamber and an ALP reaction chamber. The system allows in-situ analyses of, e.g., acac orhfac adsorbed Cu, Ni and Co surfaces and those after an exposure to low-energy Ar+ or Xe+ ion fluxes. The typical reactive gas exposure was in the range of 100 -10000 L (in units of L: Langmuir: 10-6 Torr・s) and the ion energy was in the range of 10- 50 eV. It is found that, for pre-oxidized Ni and Cu surfaces, hfac molecules adsorbed without C-O and C-F bond braking. It is also found that low-energy Ar+ ion injection breaks down adsorbed hfac molecules even on a pre-oxidized Ni surface, fluorinating the Ni surface. The results show the difficulty of using low-energy ion exposure to enhance the formation of volatile metal complexes but also suggests a possibility of atomic-level surface modification of metal films using organic molecules, which may be used for highly controlled etching processes.
9:20 AM PS+EM+TF-ThM-5 Thermal Atomic Layer Etching of Transition Metal Films
Charles Winter (Wayne State University)

Atomic layer deposition (ALD) features self-limited growth, which affords inherently conformal coatings on shaped substrates and Ångstrom-level thickness control.1 Atomic layer etching (ALE) is a related technique, where layers in a film are removed one layer at a time and involve a self-limited mechanism.2 Until recently, almost all ALE processes entailed either plasmas or ion beams.2 Plasmas and ion beams require expensive equipment and the energetic species may damage sensitive layers in films. As a result, there is considerable interest in the development of purely thermal ALD processes that use chemical reactions to achieve thickness reductions. The first thermal ALE processes were only reported in 2015 for metal oxides and fluorides,3-5 and many materials can now be etched thermally. Cobalt, copper and other first row transition metal films have wide applications in microelectronics devices.6 The ability to carry out ALE on these metals would be very valuable. However, there has been little progress reported to date in the thermal ALD of first row transition metal films. We recently reported the ALD growth of cobalt7 metal films and have explored these films as starting substrates in thermal ALE. In this talk, we will give an overview of the thermal ALE of cobalt metal films. These processes entail treatment of the cobalt metal films with formic acid in a first step, presumably to afford surface layers of cobalt(II) formate. These oxidized surfaces are then treated with various ligands in a second step to afford volatile cobalt(II) complexes, resulting in etching. Ligands that can be used to promote etching will be overviewed. Thermal ALE of copper and other metal films will also be presented.

1. S.M. George, Chem. Rev. 110 (2013) 111-131.

2. K.J. Kanarik, T. Lill, E.A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R.A. Gottscho, J. Vac. Sci. Technol. A 33 (2015) 020802.

3. Y. Lee, S.M. George, ACS Nano 9 (2015)2061-2070. Y. Lee, J.W. DuMont, S.M. George, Chem. Mater. 27 (2015)3648-3657.

4. Y. Lee, J.W. DuMont, S.M. George, J. Phys. Chem. C 119 (2015) 25385-25393.

5. Y. Lee, J.W. DuMont, S.M. George, ECS J. Solid St. Sci. Technol. 4 (2015) N5013-N5022.

6. C.-C. Yang, P. Flaitz, P. Wang, F. Chen, D. Edelstein, IEEE Electron Dev. Lett. 31 (2010) 728-730.

7. M.M. Kerrigan, J.P. Klesko, C.H. Winter, Chem. Mater. 29 (2017) 7458-7466.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS+EM+TF-ThM-10 Gas Cluster Ion Beam Etching under Organic Vapor for Atomic Layer Etching
Noriaki Toyoda (University of Hyogo, Japan)

In our presentation, we will present our investigation of the usage of a gas cluster ion beam (GCIB) under organic vapor to irradiate a surface to produce atomic layer etchings (ALE). Gas cluster ions are aggregates of thousands of atoms or molecules that are collectively ionized and can be focused into a GCIB. The kinetic energy of a gas cluster ion is shared between the thousands of gas atoms or molecules; hence, the energy per particle in the cluster can be easily reduced to several eV. Furthermore, gas cluster ions can transfer a relatively large amount of energy to a concentrated area of the target surface; thus, a large number of target atoms can be sputtered by one gas cluster ion. As a result of the high-energy impact of gas cluster ions, low-damage surface modification takes place. Because of the features outlined above, GCIB guns are also widely used in conjunction with surface analysis techniques such as secondary ion mass spectrometry (SIMS) and X-ray photoelectron spectroscopy (XPS).

Because gas cluster ions deposit energy in a condensed manner without severe damage, surface reactions are enhanced even at room temperature, which is beneficial for ALE. In our study, we performed halogen-free ALE of Cu using oxygen-GCIB irradiation under acetic acid vapor [1]. We performed the etching process in the following steps: (1) adsorption of acetic acid on Cu, (2) evacuation of residual acetic acid vapor, and (3) reaction between acetic acid and Cu with the subsequent removal of the surface Cu layer via oxygen-GCIB irradiation. During one cycle of ALE, a very thin layer of acetic acid was adsorbed onto the Cu. Subsequently, the chemically altered Cu on the surface layer was removed with oxygen GCIB irradiation. When the Cu surface was irradiated with a 20 kV oxygen GCIB, Cu atoms beneath the surface layer were also sputtered after the removal of the chemically modified layer and as a result, this etching process was not self-limiting. On the contrary, when the surface was irradiated with a 5 kV oxygen GCIB, Cu atoms beneath the surface layer were not sputtered after the removal of the chemically modified layer. Thus, it could be concluded that halogen-free ALE could only be achieved at a lower oxygen GCIB voltage, namely 5kV. In the presentation, we will report the preliminary results of the various metal etching experiments we conducted with a GCIB under organic vapors and report their applications for ALE.

[1] N. Toyoda and A. Ogawa, Journal of Physics D: Applied Physics, 50, 184003 (2017).
11:40 AM PS+EM+TF-ThM-12 Utilizing Chemical Structure of Hydrofluorocarbon Precursors to Achieve Ultra-High Selective Material Removal in Atomic Layer Etching
Kang-Yi Lin, Chen Li (University of Maryland, College Park); Sebastian Engelmann, Robert Bruce, Eric A. Joseph (IBM Research Division, T.J. Watson Research Center); Dominik Metzler (IBM Research Division, Albany, NY); Gottlieb S. Oehrlein (University of Maryland, College Park)

Atomic layer etching (ALE) applies sequential deposition and etching steps with short processing step length to establish selective material removal and atomic scale precision. The reactant pulsed in the ALE sequential reaction steps may behave differently from its use in continuous plasma etching, since for ALE the reactant is injected only during the deposition step and the deposited film undergoes non-steady-state surface desorption reaction during the etching step. In this work we will compare a mixture of a fluorocarbon (FC) precursor and H2 with a hydrofluorocarbon (HFC) precursor, i.e. mixtures of octafluorocyclobutane (C4F8) with H2 and 3,3,3-trifluoropropene (C3H3F3), for SiO2 ALE and etching of SiO2 selective to Si3N4, Si and SiGe. For continuous plasma etching, process gas mixtures, e.g. C4F8/H2, have been employed and enable highly selective material removal based on reduction of the fluorine content of deposited steady-state HFC films. This approach, however, is not successful for ALE since the residual hydrogen during reaction steps will induce etching and reduce the remaining thickness of the deposited HFC film. This HFC film on the surface is required for both etching of SiO2 and passivation of the Si3N4, Si and SiGe, and a reduction in film thickness leads to lower material etching selectivity. C3H3F3 with hydrogen reduces fluorine content in the precursor structure and allows deposition of fluorine-deficient HFC films without suppressing the formation of the passivation layer on the surface. Our results support that gas pulsing of complex HFC precursors in ALE provides a novel opportunity of utilizing the precursor chemical structure for achieving near-atomically abrupt selective ALE processes for SiO2 over Si3N4, Si, SiGe and potentially for other materials. The authors gratefully acknowledge financial support of this work by the Semiconductor Research Corporation (2017-NM-2726).

12:00 PM PS+EM+TF-ThM-13 Etch Selectivity Mechanisms of Implanted Over Pristine SiN Materials in NH3/NF3 Remote Plasma for Quasi Atomic Layer Etching with the Smart Etch Concept
Vincent Renaud, Erwine Pargon, Camille Petit-Etienne (LTM, Univ. Grenoble Alpes, CEA-LETI, France); Jean-Paul Barnes, Névine Rochat (Cea, Leti, Minatec, France); Laurent Vallier, Gilles Cunge, Olivier Joubert (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

The complexification of 3D architectures of advanced CMOS devices require to etch materials with a sub-nanometer accuracy without introducing damage to the surface and with infinite selectivity between the materials in presence. The Smart etch concept is a n original etching approach which has successfully been proposed to etch silicon nitride spacer with less than 1nm of spacer dimension loss and SiGe recess. This technic relies on a surface modification by H or He ion implantation performed in capacitive coupled plasma (CCP) reactor, followed by a selective removal of the modified layer over the pristine material. The selective removal can be achieved either by wet or remote plasma source etching. Today, the mechanisms driven the etch selectivity between the pristine and modified SiN layers in remote plasma are still misunderstood

In this paper, we propose to investigate the etching mechanisms of pristine and implanted silicon nitride layers in NH3 /NF3 remote plasma. The etching experiments are performed in 300mm industrial prototype of reactor, equipped with both a CCP and a remote plasma source allowing to achieve the implantation and the removal steps in the same reactor chamber. The substrate temperature can be varied from 40 to 200°C during the process. The etching kinetics are followed in real time thanks to in situ kinetic ellipsometry. The SiN layers are characterized by XPS, XRR and Tof-SIMS.

The real time monitoring of the etching reveals that there is a delay before the SiN layers are etched for any plasma conditions and wafer temperature. The incubation time increases with substrate temperature and depends on the surface state. For instance, our results show that a deoxidized SiN surface using HF wet is not etched in NH3/NF3 remote plasma, proving that the oxygen acts as a catalysis of the reaction, and subsequent material etching. Moreover, it is shown that the main impact of the He or H2 implantation step is to functionalize the SiN surface by implanting residual oxygen present in the chamber and generating dangling bond. Thus, the incubation time when etching implanted SiN layers in NH3/NF3 plasma at 100°C is only of 30s compared to 84s for pristine SiN. This means that short duration of remote plasma is the key parameter to achieve, infinite selectivity between implanted and pristine SiN surface.

Session Abstract Book
(290KB, May 6, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule