AVS2017 Session PS+NS+SS+TF-ThM: Atomic Layer Etching I

Thursday, November 2, 2017 8:00 AM in Room 23

Thursday Morning

Session Abstract Book
(300KB, May 6, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule

Start Invited? Item
8:00 AM PS+NS+SS+TF-ThM-1 Strategies to Control the Etch per Cycle During Atomic Layer Etching of SiO2 and SiNx
Ryan Gasvoda (Colorado School of Mines); Scott Wang, Eric A. Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

Decreasing device dimensions and the incorporation of increasingly complex 3D architectures place new constraints on conventional plasma processing techniques. One method to address the limitations of conventional etching is atomic layer etching (ALE) which can provide low damage and atomic-scale etch control. ALE has been extensively studied for a variety of materials, including Al2O3, HfO2, Si, and Si-based dielectrics. In this study, we have explored the atomistic‑level details of an SiO2 and SiNx ALE process consisting of a hydrocarbon-containing precursor dose, CFx deposition from a C4F8/Ar plasma, and an Ar plasma activation step in which the CFx film is activated and the underlying substrates are etched. In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy and in situ four-wavelength ellipsometry during ALE to monitor the surface reactions and film composition as well as the net film thickness during the deposition and etching steps.

Sequential cycles of ALE of SiO2 show a drift in the etch per cycle (EPC) with increasing cycle number. We attribute the drift in EPC is from excess CFx that is liberated from the reactor walls in the Ar plasma step. This increase in the EPC occurs even though the infrared spectra confirm that the CFx deposition onto the SiO2 film is reproducible from cycle to cycle. To minimize the drift in EPC, Ar plasma half‑cycles of twice the length are employed, which allows for the removal of CFx from the reactor walls during each cycle, thus creating more reproducible chamber wall conditions.

To further control the EPC, a hydrocarbon precursor prior to the start of ALE retards the EPC. A broad feature centered at ~1,400 cm-1 builds up on the surface with increasing hydrocarbon dose frequency and cycle number, which is assigned to a carbonaceous film of CHxFy. The film acts as a blocking layer which prevents the activation of CFx at the CFx/SiO2 interface and thus limits SiO2 etching. No graphitic carbon buildup is observed. However, increasing the Ar plasma half‑cycle length limits the buildup of the CHxFy film and increases the EPC. Using the same baseline processing conditions as ALE of SiO2, ALE of SiNx leads to a carbonaceous film buildup of both CHxFy and nitrile species at ~2,225 cm‑1 which accumulates over cycle number and eventually leads to an etch stop. A longer Ar plasma half-cycle limits the accumulation of the CHxFy film and the EPC drift. The addition of a hydrocarbon precursor retards the EPC in a similar fashion as observed on the SiO2 film.

8:20 AM PS+NS+SS+TF-ThM-2 Enabling Atomic Layer Etching of Magnetic and Noble Metal Alloys
Nicholas Altieri, Ernest Chen (University of California, Los Angeles); Jack Chen (Lam Research Corporation); Jane Chang (University of California, Los Angeles)

Etching of magnetic and noble metals alloys utilized in ferromagnetic thin films crucial to the operation of magnetic memory has created a processing bottleneck, due to their chemically resistant nature. Widely-used etch techniques, including noble ion sputtering, exhibit limited success at patterning high aspect ratio features as well as a lack of selectivity. Furthermore, as feature sizes shrink, the demand for atomic level precision in patterning increases. A generalized strategy has been developed to enable dry etch processes shown to be effective for patterning elemental and alloyed metal thin films through the use of surface modification. Controlling the thickness of the modified layer allowed for direct control of the amount of material removed, indicating that this process would be viable for achieving atomic layer etch.

The etch of Co and Pt, elements commonly used in the magnetic memory stack, as well as ferromagnetic alloys CoPt and Co30Fe45B25 were studied in this work. Inductively coupled oxygen plasma was utilized for surface modification, and organics including oxalic acid, formic acid, acetylacetone, and hexafluoroacetylacetone were investigated as chemical etchants.

Pt, Co, CoPt, and CoFeB thin films were first studied using continuous exposure to organic solutions. Pt showed no etch beyond the removal of a thin layer of native oxide. CoPt and CoFeB were shown to etch at rates up to 10 nm/min in formic acid solutions without plasma modification. Upon translation to the gas phase, where the concentration of organics was substantially lower, no etch was observed across all materials when continuously exposed to organic acid vapor.

Plasma oxidation was then utilized to chemically modify the surface through generation of directional metal-oxide bonding prior to treatment with organics. Subsequent exposure to formic acid solution indicated preferential and complete removal of metal oxides. A dual-step dry etch process consisting of plasma oxidation and organic vapor dosing was then developed which exhibited etch rates of 0.5, 2.8, 1.1, and 1.8 nm/cycle for Pt, Co, CoPt and CoFeB, respectively, and removing metallic oxides.

In formic acid solution, PtO2 exhibited infinite selectivity to Pt, while oxidized CoPt and CoFeB were observed to have selectivities of 6.4 and 3.1, respectively, compared to their unoxidized counterparts. In the vapor phase, each oxidized material exhibited nearly infinite selectivity to each corresponding metallic film. Coercivity values (Hc) of 20 and 3.5 Oe were measured for Co and CoFeB before processing conserved to up to 99% of their original pre-processing values.

8:40 AM PS+NS+SS+TF-ThM-3 Directional Atomic Layer Etching: First Principles, Modelling and Applications
Thorsten Lill, Keren Kanarik, Ivan Berry, Samantha Tan, Yang Pan, Vahid Vahedi, Richard Gottscho (Lam Research Corporation)

Atomic layer etching (ALE) has recently been introduced into manufacturing to produce 10 nm logic devices. ALE is an etching technology that deploys time or space separated and self-limited steps. In directional ALE, at least one of the two steps has to be directional, i.e., has to transfer momentum to the surface and/or be sensitive to line of sight. Ion bombardment is most commonly used to realize directional ALE. ALE exhibits the same ion-neutral synergy as RIE but the removal amount is not flux dependent due to the separation of the neutral (chemical) and ion fluxes /1,2/. Flux independence gives ALE its most prominent property: inherent uniformity across all length scales – across wafer, loading, ARDE and surface smoothness.

As compared to conventional plasma etching, step separation in ALE also offers a simplified system in which to study the etching mechanisms based on first principles. For example, recently, the process window of ALE was shown to be predictable based on the energy barriers relevant to the substrate-reactant combination, such as the surface binding energies of the chemically modified and bulk material /3/. The separate and independent steps make it particularly suitable to modelling efforts. Here we present the latest results in feature scale modelling of new material systems amenable to the ALE approach as well as experimental results.

[1] H.F. Winters, J.W. Coburn, E. Kay, J. Appl. Phys. 48, 4973 (1977)

[2] K.J. Kanarik et al., J. Vac. Sci. Technol. A 33(2) (2015)

[3] K.J. Kanarik et al., J. Vac. Sci. Technol. A 35(5) (2017)
9:20 AM PS+NS+SS+TF-ThM-5 Thermal Atomic Layer Etching of VO2 Using Sequential Exposures of SF4 and Either Sn(acac)2 or BCl3
Jonas Gertsch, Victor Bright, Steven George (University of Colorado Boulder)

Thermal atomic layer etching (ALE) is based on sequential self-limiting thermal reactions [1]. Thermal ALE offers a precise and gentle etching procedure and has been demonstrated for many materials including Al2O3, HfO2, ZnO, ZrO2, SiO2 and AlN [1,2]. This study developed thermal ALE processes for vanadium oxide (VO2). VO2 has a metal-insulator transition at ~68 °C and is useful for thermochromic films and heat-switching devices. The initial VO2 films were deposited using VO2 atomic layer deposition (ALD) with tetrakis(ethylmethylamino) vanadium(IV) (TEMAV) and H2O as the co-reactants at 150 °C.

The VO2 films were etched using sequential exposures of sulfur tetrafluoride (SF4) and either tin(II) acetylacetonate (Sn(acac)2) or boron trichloride (BCl3) at temperatures ranging from 150-250 °C. In situ quartz crystal microbalance studies were used to monitor film growth and etching during the ALD and ALE reactions. The VO2 etching mechanism using SF4 and Sn(acac)2 is observed to occur by fluorination and ligand-exchange reactions [1]. The SF4 exposures yielded mass gains that were consistent with fluorination of VO2 to VF4. The Sn(acac)2 exposures then led to mass losses that were attributed to ligand-exchange reactions that produced volatile acetylacetonate reaction products. VO2 ALE etch rates increased with temperature from 0.04 Å/cycle at 150 °C to 0.27 Å/cycle at 225 °C.

A different reaction mechanism was observed for VO2 ALE using SF4 and BCl3. The SF4 exposures yielded mass losses at all temperatures that were not consistent with simple fluorination of VO2 to VF4. The BCl3 exposures produced mass losses at higher temperatures and slight mass gains at 150 °C. The etching of VO2 by SF4 and BCl3 is believed to occur by a “conversion-etch” mechanism [2]. In the “conversion-etch” mechanism, BCl3 converts the surface of VO2 to a thin B2O3 layer. SF4 can then remove the B2O3 layer to produce volatile BF3 and SO2. The VO2 etch rates increased with temperature from 0.06 Å/cycle at 150 °C to 1.9 Å/cycle at 250 °C.

[1] Y. Lee, C. Huffman and S. M. George, “Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions”, Chem. Mater. 28, 7657 (2016).

[2] D. R. Zywotko and S. M. George, “Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum”, Chem. Mater. 29, 1183-1191 (2017).

9:40 AM PS+NS+SS+TF-ThM-6 Atomic Layer Etching of MoS2 for Nanodevices
KiSeok Kim, KiHyun Kim, YouJin Ji, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)
Among the layered transition metal dichalcogenides (TMDs) that can form stable two-dimensional (2-D) crystal structures, molybdenum disulfide (MoS2) has been intensively investigated due to its unique properties in various electronic and optoelectronic applications with different band gap energies from 1.29 to 1.9 eV as the number of layers is decreased. To control the MoS2 layers, atomic layer etching (ALE) (which is a cyclic etching consisting of a radical-adsorption step such as Cl adsorption and a reacted-compound desorption step via a low-energy Ar+-ion exposure) can be a highly effective technique to avoid inducing damage and contamination that occur during the cyclic steps. In this study, for the MoS2 ALE, the Cl radical is used as the adsorption species and a low-energy Ar+ ion is used as the desorption species. A MoS2-ALE mechanism (by which the S(top), Mo(mid), and S(bottom) atoms are sequentially removed from the MoS2 crystal structure due to the trapped Cl atoms between the S(top) layer and the Mo(mid) layer) is reported with the results of an experiment and a simulation. A monolayer MoS2 field effect transistor (FET) fabricated after one-cycle of ALE of a bilayer MoS2 FET exhibited electrical characteristics similar to a pristine monolayer MoS2 FET indicating no electrical damage on the monolayer MoS2 surface after the ALE.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS+NS+SS+TF-ThM-10 Ge Atomic Layer Etching for High Performance FinFET
Wataru Mizubayashi (AIST, Japan); Shuichi Noda (Tohoku University, Japan); Yuki Ishikawa, Takashi Nishi (AIST, Japan); Akiou Kikuchi (Tohoku University, Japan); Hiroyuki Ota (AIST, Japan); Ping-Hsun Su, Yiming Li (National Chiao Tung University, Taiwan); Seiji Samukawa (Tohoku University, AIST, Japan); Kazuhiko Endo (AIST, Japan)

Ge is a promising material for use as high mobility channel in future CMOS. For 5-nm-node CMOS and smaller, to attain electrostatic controllability of the gate electrode, a multichannel fin structure is utilized. Fin structure formation in Ge FinFETs on GeOI substrates is mainly performed by ICP etchings. However, ICP etching causes plasma induced damages owing to the ultraviolet (UV) light generated from the ICP and charge up by ionized atoms. A concern is that such etching damage reduces the performance and reliability of Ge-channel CMOS. In this work, to break-through these plasma induced damages, we demonstrated defect-free and highly anisotropic Ge etching for Ge FinFET fabricated by Cl neutral beam etching.

There are two advantages in the neutral beam etching process. 1) The wafer is not exposed by the UV light generated from the plasma through the high-aspect-ratio carbon aperture plate. 2) Ions are efficiently neutralized by collision with the carbon aperture plate. Thus, in neutral beam etching, the influences of the UV light and charge-up can be perfectly eliminated and defect-free etching can be realized.

In the ICP etching, the Ge fin is formed but has a trapezoidal shape. On the other hand, the Ge fin in the case of neutral beam etching can be vertically formed as compared with that in the case of the ICP etching. A channel surface with atomic-level smoothness was confirmed in neutral beam etching while some roughness was observed in the ICP etching. In neutral beam etching without UV light irradiation, the Ge surface is not damaged, and a surface dangling bond is formed only on the atomic layer and it undergoes a chemical reaction with the reactive species [1]. Thus, atomic layer etching can be realized by neutral beam etching.

The |Id|–Vd and |Id|–Vg characteristics of the Ge FinFET fabricated by neutral beam etching are markedly improved as compared with those of the FinFETs fabricated by ICP etching, in n- and p-type FinFETs. gm max for the Ge FinFET fabricated by neutral beam etching is two times higher for the nFinFET and 10% higher for the pFinFET than those of the FinFETs fabricated by ICP etching, regardless of the fin thickness. In the case of neutral beam etching, since there is no etching damage in the Ge fin, the interface state and surface roughness are drastically lowered. This is the reason for the improved gm max for the n- and p-type Ge FinFETs fabricated by neutral beam etching. Thus, the atomic-level flatness and damage-free etching in the Ge fin formation are essential to high performance Ge FinFETs, which can be realized by neutral beam etching.

References

[1] W. Mizubayashi et al., APEX 10, 026501 (2017).

11:40 AM PS+NS+SS+TF-ThM-12 Numerical Simulations of Atomic-Layer Etching (ALE) for SiO2 and SiN
Yuki Okada (Osaka University, Japan); Ryoko Sugano (Hitachi, Ltd., Japan); Michiro Isobe, Tomoko Ito, Hu Li, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)

As the sizes of modern semiconductor devices approach near-atomic scales, processing to create such devices in mass production scale also requires atomic-scale precisions. Recent technological advancement for atomic-scale processing includes the development of atomic-layer deposition (ALD) and atomic-layer etching (ALE), in which deposition or etching processes take place layer by layer with each step having self-limiting chemical reactions. In such a process, self-limiting reactions result in not only atomic-scale accuracy of processed structures but also process uniformity over a large area regardless of structure densities. In this study we have examined mechanisms of ALE processes of SiO2 and SiN based on digital or pulsed application of fluorocarbon or hydrofluorocarbon plasmas, using molecular dynamics (MD) simulations. In MD simulations, chemically reactive species and low-energy incident ions are supplied to a SiO2 or SiN surface alternatively. A supply of a certain amount of chemically reactive species to the surface does not spontaneously induce etching reactions. However, when the surface with such reactive species is subject to ion bombardment, energy and momentum supplied to the surface by incident ions activate surface reactions and etching reactions take place. If the ion bombardment energy is sufficiently low, this etching process stops when reactive species are depleted from the surface. In this study, we have examined various combinations of reactive species and ion bombardment. For example, in the case of SiO2, reactive species and ions used in this study are fluorocarbon radicals and low-energy (e.g., 40 eV) Ar+ ions. In the case of SiN, reactive species and ions are hydrogen radicals and low-energy (e.g., 10 eV) Ar+ ions. Also in the case of SiN, we have used simultaneous injection of hydrogen radicals with low energy CF3+ ion bombardment as a radical supply process and low-energy (e.g., 50 eV) Ar+ ion injections as a process to remove excess fluorocarbon deposited on the surface. In the last case, etching self limit occurs because of accumulation of a fluorocarbon layer, rather than the removal of it. It has been found that, in most cases, the complete removal of reactive species from the surface is not easy and the control of remaining reactive species on the surface after each digital process step is the key for the success of ALE process development.

12:00 PM PS+NS+SS+TF-ThM-13 Organometallic Etching Chemistry for Thermal Atomic Level Etching of Lanthanum Oxide
Yoshihide Yamaguchi, Kazunori Shinoda (Hitachi, Japan); Yutaka Kouzuma, Satoshi Sakai, Masaru Izawa (Hitachi High-Technologies Corp., Japan)

The demand for thermal atomic level etching (ALEt) of a wide variety of materials including silicon-based materials, metals, and high-k materials is increasing as semiconductor device geometries continue to shrink. To meet the increased demand, remarkable progress into ALEt research has been made in the last few years. One example is the pioneering research on the thermal ALEt of hafnium oxide emerged [1], where hafnium oxide sequentially reacted with HF and a stannous organometallic compound (Sn(acac)2). Thermal ALEt of SiN is another example [2][3], where sequential reactions of a plasma-assisted self-limiting surface modification and a thermal desorption of the self-limiting layer was employed.

In this work, we present our challenge for the thermal ALEt of lanthanum oxide by using surface modification followed by thermal desorption. A key technology of this ALEt is the novel organometallic chemistry for the one-step surface modification of lanthanum oxide. A lanthanum oxide sample exposed to gas of fluorine-containing ketone together with a stabilizer led to the formation of volatile organic species on the sample surface, while a SiO2 sample remained unchanged under the same process condition. The gas-exposed lanthanum oxide sample was then annealed at elevated temperatures under vacuum to remove the volatile species from the surface. After these consecutive processes, the volatile organic species from the lanthanum oxide sample were collected and identified by nuclear magnetic resonance (NMR) spectroscopy and infrared (IR) spectroscopy. These results indicated that the volatile species was an organo-lanthanum complex bearing the fluorine-containing ketone moiety as the ligand. On the basis of these results, a novel selective dry-chemical removal of lanthanum oxide was successfully demonstrated.

Several results on selective dry-chemical removal of lanthanum oxide with respect to TiN, metal oxide, and some other material will also be disclosed.

[1] Y. Lee et al., ECS J. Solid State Sci. Technol. 4. N5013 (2015).

[2] K. Shinoda et al., Appl. Phys. Express 9, 106201 (2016).

[3] K. Shinoda et al., J. Phys. D: Appl. Phys. 50, 194001 (2017).

Session Abstract Book
(300KB, May 6, 2020)
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule