AVS2013 Session EM+AS+PS+TF-ThM: Materials and Process for Advanced Interconnects I

Thursday, October 31, 2013 8:00 AM in Room 102 A

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule

Start Invited? Item
8:00 AM EM+AS+PS+TF-ThM-1 Measurement of the Bandgap Energies in Low-k Organosilicates
Michael Nichols (University of Wisconsin-Madison); Qinhghuang Lin (IBM T.J. Watson Research Center); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

The experimental measurements of the electronic band gap of low-k organosilicate dielectrics are presented and discussed. The measurement of bandgap energies of organosilicates was made by examining the onset of inelastic energy loss in core-level atomic spectra using x-ray photoelectron spectroscopy (XPS). This energy serves as a reference point from which many other facets of the material can be understood, such as the location and presence of defect states in the bulk or at the interface. In order to measure the spectra due inelastic energy loss, a suitable primary photoelectron peak must be chosen. Although in principle any core-level spectra will exhibit the same inelastic losses, the measured photoelectron intensity must be large enough so that a sufficient signal to noise ratio can be achieved with suitably high resolution. It was determined that the O 1s peak is dominant for both SiO2 and for the organosilicate films used in this work.

To find the bandgap energy, a linear fit is made to the measured loss spectra curve near the approximate location of onset of inelastic losses. The energy corresponding to the onset of inelastic losses, is found by extrapolating the linear fit line and calculating its intersection with the “zero” level. The bandgap energy is equal to the difference between the core level peak energy and the onset of inelastic losses. For SiO2 this method yields a bandgap energy of 8.8 eV which is in excellent agreement with the established value of 8.9 eV. Bandgap energies were measured for 644 nm k=2.75 as-deposited SiCOH films using the linear extrapolation method, yielding a bandgap energy of Eg = 7.0 eV. For 500 nm thick k=2.65 SiCOH the bandgap energy was found to be 7.7 eV while for a 325 nm thick, k = 2.75 photopatternable low-k (PPLK) dielectric, the bandgap was found to be 8.25 eV.

This work has been supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.

8:20 AM EM+AS+PS+TF-ThM-2 Complementary Porosity Metrologies for Porous Ultra Low-k Material
Dan Yang, Robert Opila (University of Delaware); Venkateswara Pallem (Air Liquide); Dhanadeep Dutta, David Gidley (University of Michigan); Nupur Bhargava (University of Delaware)

As transistor density on integrated circuits doubles as driven by Moore’s Law, propagation delays from interconnections at the back-end-of-line (BEOL) have begun to contribute significantly. Low dielectric constant (k) materials, e.g. organosilicate glasses (SiCOH), have been introduced to replace silicon oxide to reduce power consumption and capacitive signal delay. It has been generally agreed that decreasing material density by incorporating porosity is the most feasible means to achieve ultra low k. However, when a higher portion of porogen is introduced, pores tend to aggregate and interconnect, especially when porosity is above percolation threshold. The pore interconnectivity may lead to degradation of mechanical and thermal properties and permit intrusion of moisture, chemical species and sequestering of cleans byproducts. Therefore, characterization and understanding of porosity and pore interconnectivity are important tooptimize porous low-k materials. In this work, three non-destructive porosimetry techniques, Positron Annihilation Lifetime Spectroscopy (PALS), Ellipsometric Porosimetry (EP) and X-ray Reflectivity (XRR), are applied to characterize porous SiCOH low-k dielectric thin films of different porosity and pore interconnection, results will be analyzed and compared to highlight each technique’s advantage and limitation for characterizing porous low-k materials. This study will provide valuable guidance for future porosity characterization, data comprehension, porosity understanding and further structural optimization of porous low-k materials.

The results showed that ellipsometric porosimetry (EP) provides information on open meso-pores accessible to organic solvents but may underestimate porosity without probing pores smaller than the probing organic molecule3; Positron Annihilation Lifetime Spectroscopy (PALS), which probes the amount of free surface and is able to detect micropores but with limitations on analyzing multiple pore size distribution within pore interconnection length ; PALS also has unique feature to quantify pore interconnection length by depth-profiling; X-ray Reflectivity(XRR) gives absolute overall porosity in terms of film density but tends to overestimate porosity and provides few details on pore size distribution.

After comparison, we conclude that each porosimetry technique shows their strengths and limitations due to different physical principles. Information derived from any single porosimetry technique is not sufficient to reveal comprehensive pore information without bias. Therefore, metrology must be carefully selected and complementary techniques are required in order to acquire a full picture of pores.

8:40 AM EM+AS+PS+TF-ThM-3 Future of Ultra Low-k Materials
Willi Volksen, Teddie Magbitang, Krystelle Lionti, Geraud Dubois (IBM Almaden Research Center)
Integration of porous low dielectric constant materials constitutes a major roadblock in the reliable manufacturing of back end of the line (BEOL) wiring for advanced technology nodes [1]. The two main issues for Ultra low-k (ULK) materials are their low mechanical properties and high sensitivity to plasma induced damage (PID). We have developed a new class of bridged oxycarbosilane (OCS) type materials with unique stiffness [2-4], and a novel process to enable their integration [5,6]. The Post Porosity Plasma Protection (P4) consists of refilling the pores of the fully cured porous ULK with an organic material prior to patterning, integrating the protected ULK and thermally removing the filler at the end of the process. We demonstrate the enormous potential of our integrated solution (materials at k<2.4 and P4 process) on blanket films and its compatibility with integration.

[1] W. Volksen, R. D. Miller, G. Dubois, Chem. Rev. 2010, 110, 56-110.

[2] G. Dubois, W. Volksen, T. Magbitang, R. D. Miller, D. M. Gage, R. H. Dauskardt, Adv. Mater. 2007, 19, 3989-94.

[3] G. Dubois, W. Volksen, T. Magbitang, M. H. Sherwood, R. D. Miller, D. M. Gage, R. H. Dauskardt, J. Sol-Gel Sci. Technol. 2008, 48, 187-93.

[4] M. S. Oliver, G. Dubois, M. Sherwood, D. M. Gage, R. H. Dauskardt, Adv. Funct. Mater. 2010,20, 2884-92.

[5] T. Frot, W. Volksen, S. Purushothaman, R. Bruce, G. Dubois, Adv. Mater. 2011, 23, 2828-32.

[6] T. Frot, W. Volksen, S. Purushothaman, RL. Bruce, T. Magbitang, DC Miller, VR. Deline, G. Dubois, Adv, Funct. Mater. 2012, 22, 3043-3050.

9:20 AM EM+AS+PS+TF-ThM-5 Porosity Scaling Strategies for Low-k Films
DavidJ. Michalak, JamesM. Blackwell, Arkaprabha Sengupta, JamesS. Clarke, Daniel Pantuso (Intel Corporation)
Mechanical properties of highly porous low-k films are a key manufacturing concern area. Next generation ILD materials will need to be carefully crafted in order to deliver a needed reduction in dielectric constant while also delivering needed mechanical and chemical stability concerns. We have generated a backbone and porogen system with high chemical stability that allows us to control porosity from 0% to 60% volume and achieve dielectric constant values ranging from 3.4 to 1.6. At a given porosity, Young’s modulus values are higher than typical PECVD films, but the amount of improvement decreases as porosity increases. The high porosity and mechanical strength were achieved by tuning the number of reactive crosslinking sites on our oligomeric backbone precursor. Our data are evaluated in light of percolation theory, which sets a theoretical maximum porosity limit for materials made from random mixes of backbone and porogen. Ways around this maximum limit are proposed. Finite Element Modeling of the 0-60% porosity films yielded calculated Young’s modulus values very close to observed values when experimental inputs of porosity, pore size, and pore shape were used. Up to 4x improvement in Young’s modulus is observed for hypothetical structures containing controlled pore size, shape, and order. Stress contour mapping allows a rapid visualization of the mechanisms at play. Material needs for the next generation ILDs will be discussed in light of these data.
9:40 AM EM+AS+PS+TF-ThM-6 Ellipsometric Porosimetry Surface Characterization of Oxygen Plasma Damage and κ Repair on Ultra Low κ Dielectrics
Zhiguo Sun (GLOBALFOUNDRIES U.S. Inc.); Alexis Bondaz, Tom Karpowicz (Semilab); Vijayalakshmi Seshachalam, Sonny Srivathanakul, Huang Liu (GLOBALFOUNDRIES U.S. Inc.)

Due to its intrinsic weakness of high levels of carbon-containing compounds and open pores, an ultra low-κ film is prone to Back End of Line(BEOL) downstream damage from processes such as ashing, reactive ion etching, wet processing and CMP. With continued scaling beyond the 14nm technology node, κ repair processes become required as an ultimate solution in restoring the dielectric properties and keeping the low κ benefit of low capacitance and power consumption.

Oxygen plasma is widely used in the ashing process of photo resist striping, which degrades low-κ material and cause dielectric loss. In this study, Ellipsometric Porosimetry (EP) has been applied to characterize the ultra low-κ surfaces, which are damaged by oxygen plasma and repaired by subsequent hydrocarbon-sourced plasma. The results of the pore size measured by EP shows 1.1nm pore size for a pristine film, 0.4nm for a repaired film and no pores for a damaged film. The conclusion from these readings indicate that the damaged film has a dense crust on the top of the film which prevents adsorption of the solvent used in EP. The repair process reopens the pore but not completely since it shows a significantly smaller pore size than the pristine film. When low-κ films undergo a heating process, the pristine film shows an approximate linear behavior of thermal expansion, while the damaged film shows thickness shrinkage, which could be explained by the desorption of the –OH containing species formed due to oxygen plasma exposure. The repaired film shows the same trend as the pristine film, with the same extracted Coefficients of Thermal Expansion (CTE) as the pristine film. The penetration of plasma repair has been identified as deep as 30nm through film stack model fitting.

This extensive study proves the κ repair process is taking effect as well as proving Ellipsometric Porosimetry is capable and sensitive enough to measure the film property changes statically and dynamically.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM EM+AS+PS+TF-ThM-9 Pore Stuffing to Enable Interconnect Scaling
Jeffery Bielefeld, Manish Chandhok, JamesS. Clarke, Christopher Jezewski, Kanwal Singh, Alan Myers, Jessica Torres, Robert Turkot (Intel Corporation)

To lower interconnect signal delay, the industry continues to work on the integration of low-k interlayer dielectrics (ILD). Momentum has slowed in recent years due to the challenges of working with porous thin films. The pores in the ILD can lead to damage and increased roughness during patterning, and can allow precursor penetration during the metal barrier deposition. Low-k ILDs (k~2.0) exhibit 40-50% porosity with interconnected pores with pore size at approximately 2nm+. These challenges are currently reducing interconnect reliability and inhibiting combined capacitance and resistance scaling.

Two approaches have been investigated to mitigate the integration issues with porous dielectrics. The first is pore sealing, in which a non-porous layer is added to the porous ILD’s surface to enhance adhesion and to prevent metal penetration during barrier deposition. The down-side of pore sealing is that it does not address the issue of damage and profile roughness during the patterning process.

The second approach is pore stuffing, in which a sacrificial material is infiltrated into the pores of a fully cured ILD. This process generates a non-porous material with increased mechanical properties. The benefits of a non-porous material are utilized during both patterning and metallization. Once the metallization process is complete the sacrificial material is removed to restore the properties of the low-k ILD.

In this paper, we will discuss the challenges of finding a pore stuffing material that can fill the pores of the ILD, that can remain in place during dual damascene processing and that can be removed low-k ILD post metal deposition. Next we will show step by step how pore stuffing improves trench profiles, enhances resistance to wet cleans damage, and eliminates metal precursor penetration. Finally the successful implementation of this process into a dual damascene process flow will be shown.

11:00 AM EM+AS+PS+TF-ThM-10 Molecular Layer Deposition of Organic Films for Nanoelectronics Applications
Stacey Bent (Stanford University)

Many challenges exist in forming the nanostructured materials that will be needed for future electronics technologies. New approaches are required in both the materials and the processing methods to achieve the required atomic-scale level of control. This presentation will focus on the potential for molecular layer deposition (MLD) to solve some of the technological challenges in nanoelectronics applications. MLD, like the analogous process of atomic layer deposition, utilizes an alternating sequence of self-limiting reactions, and it can be used to deposit conformal, tunable organic films with controllable thicknesses down to the sub-nanometer scale. In our studies, a variety of nanoscale organic films have been deposited by MLD via urea coupling chemistry and other related coupling chemistries. Different backbones have been used to tune the properties of the organic films, which are attached covalently to the underlying substrate. Incorporation of blended and nanolaminate films, which can allow for the films properties to be optimized at a molecular level, has also been explored, and the films have been characterized by a variety of experimental techniques.

A potential application for MLD films is for advanced interconnects. To meet the aggressive demands of interconnect scaling, future devices will require ultrathin, smooth, conformal copper diffusion barriers and seed layers, motivating the development of new barrier layer materials. Organic films are one promising class of materials that have received interest as a possible solution. We have explored the use of MLD to form nanoscale organic thin films for barrier layer applications. The technique allows tailoring of the film properties to optimize desirable barrier properties, such as density, copper surface adhesion, thermal stability, and low copper diffusion. We will describe the copper diffusion barrier properties of the nanoscale organic films as tested by adhesion, annealing, and microscopy studies. Other applications of the MLD films for nanoelectronics, including use as resist materials for extreme ultraviolet (EUV) lithography and as surface modification layers for subsequent materials deposition, will also be presented.
11:40 AM EM+AS+PS+TF-ThM-12 Surface Photoconductivity of Low-k Organosilicates Induced by Plasma Vacuum Ultraviolet Radiation
Huifeng Zheng, Dongfei Pei, Michael Nichols (University of Wisconsin-Madison); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

Charging during plasma processing of VLSI/ULSI devices can cause degradation of dielectrics and is a leading cause of damage in semiconductor devices. Thus, a search for a proper method to limit and deplete charge in dielectric materials, especially low-k dielectric materials, (SiCOH) has received great interest. In this work, the temporary increase in the surface conductivity of SiCOH during exposure to vacuum-ultraviolet radiation is investigated and compared with similar measurements for SiO21. To measure this, patterned titanium “comb structures” were deposited on thin SiCOH films and exposed to synchrotron radiation. VUV-induced currents along the surface of the layer between the titanium fingers of the comb structure were measured by biasing the comb structure through electrical connections from the test structure to outside circuitry. By measuring the I-V characteristics of the comb test structure under a constant flux of VUV light, we determined that, for low electric fields, the measured current density and applied electric field are linearly proportional. However, because the SiCOH samples used here are relatively thin, as the electric field increases, the photoinjection current from the substrate becomes large and then dominates the measured VUV-induced current. Hence, it is possible to generate a self-consistent VUV-induced IV characteristic only by using low applied electric fields. Based on these measurements, the surface conductivity can be found. Specifically, under VUV radiation with photon energies between , the surface conductivity of the SiCOH films materials increases by at least one order of magnitude compared with the surface conductivity in the absence of radiation. This increase can be beneficial in limiting charging damage of dielectrics by depleting the plasma-deposited charge, which holds the potential to decrease processing-induced plasma damage to semiconductor devices significantly.

1 C.Cismaru, J.L. Shohet and J.P. McVittie, Applied Physics Letters, 71 2191 (2000).

This work was supported by the Semiconductor Research Corporation under Contract 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.

Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule