AVS2003 Session QS-MoA: Thin-Film Metrology

Monday, November 3, 2003 2:00 PM in Room 320

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic QS Sessions | Time Periods | Topics | AVS2003 Schedule

Start Invited? Item
2:00 PM QS-MoA-1 Metrology Needs for Ultrathin Films in the Wafer Processing Industry. What is Needed? What is Available?
C.R. Brundle (C.R. Brundle and Associates); C.A. Evans (Evans FWA)
Single layer films of less than 1nm (eg Si/O/N) or stacks of less than 4nm (eg TaN/Cu) are already in production or under development. The ITRS required metrology for these, however, has been lagging because this presents major instrumentation challenges. Thickness SPC specs across wafers and from wafer to wafer are typically 3% RSD, or better, which implies a measurement precision (at 1 sigma) of at least 1%, ie 0.01nm for a 1nm film. Elemental composition precision may need to be similarly tight (eg for N concentration in a 1nm Si/O/N film). More esoteric parameters, such as depth distribution (elemental or even chemical), interface mixing, roughness, or reaction, or surface contamination, may also have to be under SPC, but even if they do not, these variables must not compromise the metrology used. Finally there may be requirement to make such measurements on restricted areas on production wafers. In this paper we review the capabilities of some of the analytical technologies beginning to find their way into the industry to deal with these issues. They include such methods as VUV spectroscopic ellipsometry, XRR/XRF and XRR/ellipsometry combinations, acoustic sonar methods, non-contact electrical methods, Low Energy X-Ray Emission Spectroscopy (LEXES), and XPS and ARXPS. Examples of their use are presented. It is natural that new measurement instrumentation should penetrate the the "lab" first, where the requirement for throughput and non-expert, recipe driven, automation of both data collection and processing are far less demanding than in "the fab", but even in the lab the instrumentation must must handle full 300mm wafers in a manner where the "time to answer" is acceptable. We discuss what attributes are likely to lead to a transition to fab use.
3:00 PM QS-MoA-4 Intercomparison of Silicon Dioxide Thickness Measurements Made by Multiple Techniques - The Route to Accuracy
M.P. Seah (National Physical Laboratory, UK)
A pilot project has been launched under the auspices of the Consultative Committee for Amount of Substance (CCQM) to evaluate the measurement issues for the amount of SiO2 on (100) and (111) Si in the thickness range 1.5 nm to 8 nm. Wafers and methodologies have been carefully prepared to achieve the best results possible. 44 sets of measurements have been made in different laboratories using 9 different methods (MEIS, NRA, RBS, SIMS, XPS, ellipsometry, GIXRR, NR and TEM). The results have been assessed, against NPL XPS data, using d(respondee) = m d(NPL) + c. All show excellent linearity, except 3 sets with methods more suited to composition depth profiles. The main sets correlate with the NPL data with average rms scatters of 0.15 nm with half being better than 0.1 nm. Each set allows the relative scaling constant, m, and the zero thickness offset, c to be determined. Each method has 0 < c < 1 nm and it is these offsets, measured here for the first time, that have caused many problems in the past. Each technique has a different accuracy for m and consistent results have been achieved. XPS has poor accuracy for m but a high precision and, critically, has zero offset if used correctly. Achieving a consistent scaling constant and zero offset for XPS requires reference conditions and is not trivial. Analysts using these conditions generated dramatically improved data. A combination of XPS and another method allows an accurate determination of the XPS scaling constant. XPS then has a high accuracy, traceable via the other method. Several methods have small offsets which, if they can be controlled, will enable these methods also to show high accuracy.
4:00 PM QS-MoA-7 Comparative Thickness Measurements of SiO2/Si Films for Thicknesses less than 10 nm
T. Jach, J.A. Dura, N.V. Nguyen, J. Swider (National Institute of Standards and Technology); G. Cappello (Institute Curie, France); C. Richter (National Institute of Standards and Technology)
The metrology of gate dielectric thicknesses using different methods for layers below 10 nm is still subject to uncertainties. We report on a comparative measurement of SiO2/Si dielectric film thickness (t<10 nm) using grazing incidence x-ray photoelectron spectroscopy, neutron reflectometry, and spectroscopic ellipsometry. Samples with nominal thicknesses of 3 nm - 7 nm were characterized by XPS with grazing incidence x-rays at 1.8 keV, with cold neutron reflectometry (λ=0.475 nm), and with spectroscopic ellipsometry over 1.5 eV
4:20 PM QS-MoA-8 The Thickness and Composition of Ultra-thin SiO2 Layers on Si
C. Van der Marel, M.A. Verheijen, Y. Tamminga (Philips Electronics, The Netherlands); R.H.W. Pijnenburg (Technical University Eindhoven, The Netherlands); N. Tombros (State University of Groningen, The Netherlands); F. Cubaynes (Philips Research, IMEC, Belgium)
Ultra-thin SiO2-layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is XPS (X-ray Photoelectron Spectroscopy). As shown by Seah and Spencer,1 it is not trivial to characterize this type of layers in a reliable way. We carried out a series of systematic investigations on layers of SiO2 on Si (in the range from 0.3 to 3 nm). The samples were analyzed by means of TEM, RBS and XPS. The XPS-results were analyzed using the standard Cumpson formula (equation 2 in1), by means of Quases-Tougaard and using an overlayer-substrate model. We also examined the influence of various experimental parameters upon the results (e.g. irradiation time in RBS, objectivity of layer thickness determination in TEM, measuring time and pass energy in XPS). It was found that the ratio O:Si of the layers always corresponds to that of pure SiO2. Yet, for thickness below 2 nm, small but significant deviations were found between RBS and XPS on the one hand and TEM-results on the other hand. The results suggest that the density of SiO2-layers with a thickness below 2 nm is less than the density of bulk SiO2.


1 M.P. Seah and S.J. Spencer, Surface and Interface Analysis 33 (2002) 640.

4:40 PM QS-MoA-9 Characterization and Metrology for High k Materials using Parallel Angular Resolved XPS (PARXPS)
G. Conti, C.C. Wang, Y. Uritsky (Applied Materials, Inc.); C.R. Brundle (C.R. Brundle and Associates)
Owing to the excellent matching of the probing depth of XPS to the current ultrathin film thickness range in use in the wafer processing industry (0.5 to 4 nm), this technique is coming to the forefront for providing composition and chemistry information, both in the film and at the surfaces and interfaces. Ideally one would like a technique where a protocol for measuring thickness, composition, and a chemical concentration depth profile can be measured non-destructively. XPS can do this by exploiting PAR-XPS to obtain a depth profile, as opposed to the traditional sputter profile approach, which is both destructive and often introduces artifacts. In PAR-XPS there is no need to rotate the wafer to get series of angular resolved spectra, since it is collected simultaneously over a wide angular range and binned into user defined smaller angular ranges. We present examples of thickness, N dose, and N depth profile characterization for Si/O/N gate oxide (1nm to 3nm range), and for HfO2 films on SiO2 on Si (3nm to 4nm total range) using the VG Theta Probe 300. For the Si/O/N films we show that high precision (better than 1% RSD) is obtainable for thickness and apparent N dose using an integrated angle (22 to 63 degrees) measurement. To turn the apparent N dose into a true dose requires information on the N depth profile, which is obtained from the angle resolved measurements, using the Maximum Entropy modeling approach. For the HfO2/SiO2/Si films the PAR-XPS shows that the films are intermixed for the particular processing conditions discussed here. Our conclusions on the use of PAR-XPS are that the method can meet the needs for precise film thickness measurements, and depth resolved chemistry, provided the film thickness to be analyzed is less than about 4nm. Beyond that, traditional spattering becomes necessary, since PAR-XPS contains too small a component of information from such depths.
5:00 PM QS-MoA-10 Multivariate Statistical Analysis of Spatially Compressed Time-of-Flight Secondary Ion Mass Spectrometry Images1
J.A. Ohlhausen, M.R. Keenan, P.G. Kotula, D.E. Peebles (Sandia National Laboratories)
Owing to the parallel nature of Time-of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS), complex and very large datasets can easily be acquired. An example of such a large dataset is a spectral image where a complete spectrum is collected for each pixel. Ideally, the complete spectral image would be used to provide a comprehensive materials characterization. This is difficult to accomplish with traditional techniques. Previously, we have demonstrated the application of multivariate spectrum imaging techniques to TOF-SIMS. This technique, called Automated eXpert Spectral Image (and series) Analysis -- AXSIA, is based on the separation of a complex and very large spectral image dataset into physically realizable and intuitive chemical components, including both spectra and concentrations. The full analysis is performed without outside estimates of spectral shapes, concentrations or the number of components present. In TOF-SIMS, we have shown that spectral series in the form of depth profiles (1D), images (2D), and imaged depth profiles (3D) can be analyzed using AXSIA. Since datasets can be large (5MB-1GB), data compression must be performed in order to process the data on laboratory computers. While providing signal-to-noise and memory storage improvements, data compression can hide or dilute important and small features. In this talk, I will present some statistical advantages of using multivariate techniques directly to spatially compressed data while maintaining full image resolution. In addition, I will explore the trade-offs between spatial and spectral compression and small feature recognition.


1This work was completed at Sandia National Laboratories, a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

Time Period MoA Sessions | Abstract Timeline | Topic QS Sessions | Time Periods | Topics | AVS2003 Schedule