AVS1999 Session PS2-ThA: Pulsed Plasmas

Thursday, October 28, 1999 2:00 PM in Room 609

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
2:00 PM PS2-ThA-1 Power Modulated, Inductively-Coupled Plasmas
M.V. Malyshev, V.M. Donnelly, J.I. Colonell, K.H.A. Bogart (Bell Laboratories, Lucent Technologies); S. Samukawa (NEC Corporation, Japan)
A review of plasma behavior in a power modulated (pulsed plasma) mode will be presented. Time dependencies of electron, positive ion, and negative ion densities as well as electron temperatures and electron energy distribution functions were measured with Langmuir probe, microwave interferometry, and TRG-OES in chlorine containing plasmas. Transition from an electropositive plasma to an ion-ion plasma in the afterglow of the pulsing period will be discussed. Formation of an ion-ion plasma is observed in higher pressure/lower power plasmas where Cl2 is dissociated to a lesser degree and significant deinsities of negative ions can be produced through dissociative attachment of Cl2. Anisotropic etching in inductively-coupled plasmas requires the use of bias and the effect of rf bias on the pulsed plasma mode of operation will be reviewed. In particular, the transition of the decaying plasma in the late afterglow into an RIE-type plasma during pulsed source and continuous bias operation will be shown and discussed. In this regime of operation, electron temperature sharply decreases in the beginning of the OFF cycle, goes through the minimum, and increases when the capacitive sheath near the wafer starts to heat electrons once the plasma density has decayed to RIE levels. Pulsed-power operation expands the boundaries of traditional plasma processing, and is a promising candidate for reducing plasma-induced damage and profile anomalies during plasma etching. Metal etching results in Lam 9600 TCP reactor show an 80% decrease of the severity of the device damage at an optimum condition of 50 µs ON and 50 µs OFF as compared to that of a continuous wave plasma. The use of power modulation for studying fundamental plasma dynamics will also be discussed.
2:40 PM PS2-ThA-3 Method for Ion Energy and Ion Energy Distribution Functions Control at the Substrate during Plasma Processing
S.-B. Wang, A.E. Wendt (University of Wisconsin, Madison)
A new method is proposed for control of ion energy and ion energy distribution functions (IEDF) at the substrate during plasma processing. In contrast to the conventional approach of applying a sinusoidal voltage of variable amplitude and frequency to the substrate electrode, the new technique has a variety of advantages and provides a much greater degree of energy control by instead using a specially tailored waveform consisting of a periodic pulse or short high frequency burst in combination with a slow ramp. This rather adaptable technique for controlling the ion energy distribution function has important implications for selectivity and feature profile control in plasma etching, as well as film quality in plasma enhanced chemical vapor deposition (PECVD). In addition, it can be used to non-invasively measure the ion energy flux bombarding the substrate, and, therefore, can serve as a real-time indicator for etching process control and a real-time monitor for the drifting of plasma conditions during plasma processing. A time-dependent spherical-shell plasma fluid model is used to simulate different bias voltage waveforms and different area ratios for powered and grounded electrodes, and thus demonstrate the mechanisms of this method in producing a narrow IEDF of precisely controlled energy, independent of ion mass. Experiments in a helicon plasma using this method of substrate bias confirm predictions of sheath voltage evolution. Taking plasma noise into consideration, an IEDF with a single peak and full width at half maximum of 8 eV is expected for a 20 mTorr argon plasma, independent of the value of DC self-bias.
3:00 PM PS2-ThA-4 Modulation Frequency Effects on Metal Etching Processes Using Pulsed-Power Plasma of Cl2/BCl3 Admixture
C.J. Choi, O.S. Kwon, Y.S. Seol, I.H. Choi (Hyundai Electronics Industries Co., Ltd., Korea)
Using a langmuir probe and mass spectrometry, characteristics of pulsed-power plasmas of Cl2/BCl3 admixtures have been investigated for Al and TiN etching. From the measurements of ion energy distributions, kinetics of positive and negative ions were studied as a function of modulation frequency. Time-modulation was carried out in the range of modulation frequency from 1 kHz to 500 kHz for a 13.56 MHz radio frequency source. As the modulation frequency decreases, densities of the positive ions such as Cl2+ and BCl2+ and neutrals were not changed significantly. However, the negative ions of Cl- and Cl2- increase greatly due to enhancement of electron attachment reactions since high-energy electrons larger than 5 eV were cooled effectively at low modulation frequencies, especially lower than 50 kHz. Etching characteristics for the metal films were also investigated as a function of modulation frequency. Etch rates of both Al and TiN films increased with decrease of the modulation frequency in the range of 1 kHz to 100 kHz. In particular, the Al etch rate at the lowest modulation frequency, 1 kHz, dominates over that in the continuous wave plasma at a fixed average power mode. By correlating the etching characteristics with the results from the plasma diagnostics, we determined the role of negative ions, generated predominantly during the plasma-off period, in metal etching with the pulsed-power plasma. Finally, the plasma charge-up on ferroelectric capacitor was examined after the metal etching with the pulsed-power plasma.
3:20 PM PS2-ThA-5 Characterization of Process-Induced Charging Damage in Scaled-Down Devices and Reliability Improvement using Time-Modulated Plasma
K. Noguchi, S. Samukawa, H. Ohtake, T. Mukai (NEC Corporation, Japan)
The charging damage from metal etching and dielectric etching was studied using MOS devices with gate oxide thickness of 1.9-6.0nm, and the impact of the plasma charging on reliability of scaled-down devices, as well as damage monitor methods appropriate for each plasma process and oxide thickness were investigated. Obtained results are as follows. For metal etching, in which electron shading effect is a major cause of charging, hot carrier effect dominated device degradation for oxide of 3.5-6.0nm. For thinner oxide ( < 3.0nm), however, a gate leakage failure dominated but the failure rate decreased with gate oxide thinning below 3.0nm and became negligibly small below 2.2nm. For dielectric etching, the gate leakage current was an effective damage monitor, though high oxide electric field of >10 MV/cm was required to detect the latent damage effectively. Charge-to-breakdown or hot carrier degradation was less sensitive to the dielectric etching damage. Similar to the metal etching, the failure rate was lower for thinner oxide. Although the oxide damage seemed to decrease with device scaling, the problem may remain as latent damage or reduced reliability. To realize plasma process with low damage, time-modulated (TM) plasma technology was applied to the ECR metal etcher, the ultrahigh frequency (UHF) dielectric etcher, and the ICP polysilicon etcher. These etchers all showed reduction in charging damage compared to the conventional continuous-wave plasma. For example, the estimated amount of the charging current from the plasma was reduced to 1/4 in the metal etcher. The oxide yield improved by about 2 times in the dielectric etcher. The density of oxide traps created by the plasma process decreased in the polysilicon etcher. Thus, the use of the TM plasma is an effective and practical method to realize scaled-down MOS devices with better yield and reliability.
4:00 PM PS2-ThA-7 Reduction and/or Elimination of Undesirable Topographic Differential Charging Effects in Semiconductor Processing by using Simultaneous Modulation of Source and Wafer RF
N. Hershkowitz (University of Wisconsin, Madison); M.K. Harper (Intel Corporation); B.-W. Koo (University of Wisconsin, Madison)
High aspect ratio features are predicted to exhibit a variety of undesirable effects associated with electron shadowing and the resulting differential charging of dielectrics in semiconductor etching and deposition. Examples include notching in line and space structures, aspect ratio dependent etching, sidewall bowing, microtrenching and charging damage. In agreement with previous work, our experiments with Cl2 plasma etching of poly-Si and C2H2F4 of SiO2 (in a helicon etch tool) have found that modulation of the 13.56 MHz RF source can eliminate topographic charging effects in the absence of RF wafer bias, but fails in the presence of CW RF wafer bias. Data are presented showing that simultaneous source and wafer (on-off) modulation eliminates topograhic-charging effects while preserving the advantages of bias voltage. RF frequency and modulation duty cycle effects are discussed together with discharge mechanisms.
4:20 PM PS2-ThA-8 Time-Resolved Optical Measurements of a Pulsed Inductively Coupled Plasma
E.C. Benck, M. Edamura (National Institute of Standards and Technology)
Pulsed high density plasma sources have been suggested as an additional technique to alter plasma properties to help improve plasma etching. Time-resolved diode laser absorption spectroscopy has been used to measure the argon metastable densities in pulsed inductively coupled plasmas. These measurements were made in an inductively coupled version of the Gaseous Electronics Conference rf reference cell with Ar, Ar/O2 and Ar/CF4 discharges. These results are compared with time-resolved optical emission measurements from excited states of Ar, O and F atoms as well with time-resolved Langmuir probe measurements. Particular emphasis will be placed on the plasma behavior immediately after the turn on of the rf power to the coil and during the capacitive to inductive mode transition.
4:40 PM PS2-ThA-9 Substrate and Plasma Heating within High Frequency Bi-polar Pulsed-DC Magnetron Sputtering Applications
L.J. Mahoney, G.W. McDonough, D.C. Carter, G.A. Roche, H.V. Walde (Advanced Energy Industries)
Bipolar pulsed-DC power supplies have been developed and widely used for magnetron sputtering applications where periodic reversal of the sputter target polarity is used to suppress arc events. Pulsed-DC sputter deposition is particularly advantageous with reactive sputter deposition of oxides and select nitrides where arcs can lead to defects in deposited films and coatings. Recent technical advances now allow workers to widely adjust pulsed-DC operation by varying the pulse frequency up to 350 kHz and by varying the pulse-width or duty cycle. We have observed that at frequencies substantially greater than 100 kHz, the rate of change in substrate temperature substantially increases, a condition that can influence deposition processes. To better understand the mechanisms driving the increase in substrate heating, we examine the downstream sputter-deposition region of a closed-field magnetron with six inch diameter Al target by means of (1) fast-response thermal probes to measure the intrinsic power flux to grounded and floating substrates, (2) a time-resolved Langmuir probe to elucidate electron heating dynamics, and (3) analysis of the unique magnetron current and voltage waveforms. At pulsed-DC frequencies above 100 kHz, we observe that the intrinsic power flux density and electron density at the substrate both increase over DC and near DC sputter conditions. The measurements also indicate that the heating effect may be controlled by varying the pulsed-DC frequency and duty cycle. Moreover the heating effect correlates with transient features in the pulse-DC voltage waveform. From this work we infer that the mechanism for heating is likely to be driven by stochastic heating of the plasma electrons through a spatially-varying and time-dynamic sheath at the target, as analogous to conventional AC plasma sources. Potential process benefits of pulsed-DC operation at such high frequencies are also discussed.
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule