AVS1998 Session PS-MoM: Feature Evolution

Monday, November 2, 1998 8:20 AM in Room 318/319/320

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule

Start Invited? Item
8:20 AM PS-MoM-1 The Independence of Feature Profile Evolution on Mask Charging During Chlorine Plasma Etching of Si (100)
K.H.A. Bogart, F.P. Klemens, V.M. Donnelly, J.T.C. Lee (Bell Laboratories, Lucent Technologies)
Non-ideal feature profiles including sidewall undercutting or bowing and microtrench formation at the feature bottom are often observed for crystalline (100) silicon (Si) with silicon dioxide (SiO2) masks etched in pure chlorine (Cl2) plasmas. Localized charging of the mask with respect to the underlying Si has been suggested as one possible cause for flawed profiles. Cl2 plasma etching of Si (100) wafers (150 mm p-type, 4-200 Ωcm) with an insulating SiO2 mask and patterned Si (100) wafers without a mask were compared directly to determine the effect of mask charging on the resulting feature profile. Etching was performed in a TCP plasma reactor (250 and 500 W rf source and 150 W rf bias power) at 2 and 10 mTorr Cl2 . The pattern (nested and isolated lines and trenches) was transferred into the no-mask Si wafers by etching through a SiO2 mask with a hydrogen bromide plasma, producing trenches with nearly vertical sidewalls and flat trench bottoms. The SiO2 mask was removed in aqueous hydrofluoric acid, and the line and trench pattern was further propagated into the Si wafer by etching in Cl2 plasmas under various conditions. In the latter case, the pattern through which etching proceeded was composed only of Si and was not insulating, but conductive. Therefore, localized charging of the patterned Si did not occur. Cross sectional scanning electron micrographs were used to compare feature profiles. In general, wafers etched with the insulating SiO2 mask had line and trench profiles with bowed or tapered sidewalls and pronounced microtrenches. Wafers etched in the absence of the insulating SiO2 mask showed nearly identical feature profiles. Therefore, localized charging of the insulating SiO22 mask is not a primary cause of sidewall bowing or microtrenching for Cl2 plasma etching of Si under these conditions.
8:40 AM PS-MoM-2 Is Notch Formation Chemical or Physical?
N. Hershkowitz, A.K. Quick (University of Wisconsin, Madison)
There is debate in the etching community about what mechanisms are most important in the formation of notches in phosphorous-doped poly-Si lines during Cl2 plasma etching. Some researchers claim that the notch is formed by ion deflection due to differential charging. Others claim that the ion deflection causes only the removal of SiCl2 passivating films which then allows neutral etching of the notch. They claim that stress at the poly-Si/SiO2 interface opens up the poly-Si lattice to enhance the neutral etch rate. The goal of this talk is to help clear up this controversy. An experiment was performed in which poly-Si line and space patterns were etched in the presence of an electron beam to reduce differential charging. An electron beam of the correct energy and current density was found to suppress notch formation, indicating that this phenomenon is charge related. Analysis of the notch shape for a floating and 13.56 MHz RF biased substrate (without the electron beam) showed a characteristically larger notch opening for the biased case due to the low-energy peak in the bimodal ion energy distribution function. This shape difference provided evidence that the notching phenomenon is mainly from ion deflection. In addition, scanning electron microscope images of the inside of the notch showed ion tracks that could not have been made by neutrals. These findings, along with the notch supression in the electron beam are proof that the dominant etching species are ions. This work is supported by National Science Foundation Grant #EEC-8721545.
9:00 AM PS-MoM-3 Feature Evolution Simulations of Silicon Trenches
H.H. Hwang, D. Bose (Thermosciences Institute); T.R. Govindan, M. Meyyappan (NASA Ames Research Center)
Understanding how an evolving microfeature is affected by process parameters, such as those that are controlled by knobs on the reactor panel, is of great interest. This is possible only if the feature level model is coupled to a reactor level model. We have developed a level set theory based profile evolution model to simulate the moving gas-solid interface between the semiconductor and plasma. This approach is an alternative to string algorithms which suffer from looping problems and are not easy to implement in three dimensions. Our model includes both the isotropic and anisotropic components of etching, and considers reemission of neutrals and ions inside the trenches. We have also developed a comprehensive reactor model which can provide the necessary input parameters for the level set simulation. The multidimensional reactor analysis code solves the complete set of Navier-Stokes equations for plasma transport, neutral species dynamics, gas flow, heat transfer, and Maxwell's equations for power deposition from an external source in a coupled manner to provide concentrations, fluxes, and energies of various species as a function of process parameters. We have validated the reactor model against available experimental data for N2 plasmas (10 mTorr, 100 sccm) in a 300 mm etch ICP reactor. The current analysis shows that the model reproduces plasma properties, such as electron density, temperature, and their variations, with reasonable accuracy. We will present results from the feature profile simulation in pure chlorine plasmas in an ICP reactor at 1-10 mTorr and 20-100 sccm, based on the calculations generated from the reactor model. Comparisons to experimental data will also be presented. Support for Bose and Hwang provided by NASA contract NAS2-14031 to Eloret.
9:20 AM PS-MoM-4 An Integrated Multi-Scale Modeling Approach to Predicting Ionized PVD Step Coverages
D.G. Coronell, P.L.G. Ventzek, V. Arunachalam, C.-L. Liu (Motorola); D.E. Hanson, J.D. Kress, A.F. Voter (Los Alamos National Laboratory)
Ionized PVD has emerged as a promising technology for inlaid metallization over high aspect ratio features. However, the high cost of process and tool development and the need to forecast the extendibility of ionized PVD processes to smaller features and new materials represent major challenges. Computer-aided process development is an increasingly important means of addressing these challenges. Here we demonstrate how models describing phenomena at several length scales can be integrated to better understand, control, and predict the influence of process settings on ionized PVD step coverages. The relationship between the process variables and the flux of material to the wafer is determined using a detailed equipment scale plasma model.1 The ion flux information is subsequently funneled through a sheath model where the angular and energy distributions of the ions are computed for input to a feature scale model. This is a critical link in the integrated model as it enables a clear understanding of the relationship between equipment level settings and process performance at the feature scale level. An important component of the ionized PVD feature scale model is the description of the interaction of the energetic ions with the feature surface. The ion-surface interaction models were formulated from atomic scale molecular dynamics analyses where the angular and energy-dependent sticking probabilities, sputter yields, and directional characteristics of reflected and sputtered atoms were computed.2 The multi-scale model was applied to ionized Cu PVD where the effects of wafer bias, pressure, target power, and coil power on step coverage are illustrated for various feature geometries. As the feature sizes decrease, a judicial selection of process conditions is required in order to properly engineer the optimal mix of ionization and resputtering according to the model predictions.


1
1 See AVS '98 paper by Ventzek et al.
2 See AVS '98 paper by Kress et al.

9:40 AM PS-MoM-5 Notch Formation by Stress Induced Etching of Polysilicon
J.P. Chang, H. Sawin (Massachusetts Institute of Technology)
We have demonstrated that notch formation during over-etching of polysilicon is in part caused by stress enhanced spontaneous etching and is not solely a result of feature charging. Mechanical stresses applied to patterned polysilicon samples were shown to be effective in enhancing or reducing the extent of notching formation. The tensile stress at the polysilicon-oxide interface enhances spontaneous etching of polysilicon by reactive neutrals and leads to the notch formation. Non-uniform stress fields are observed within the patterned lines and large localized stresses are induced at the interface, especially the corner adjacent to the substrate. Stress concentration at the polysilicon-oxide interface can enhance the surface kinetics of etching, thereby causing notching. Notching has been attributed to the electric-field-induced ion trajectory distortion and the subsequent etching of polysilicon by these ions near the polysilicon-oxide interface. The large local charging potential at the silicon dioxide surface is caused by the difference in the directionality of ions and electrons; i.e. the isotropically directed electrons charge the photoresist sidewalls negatively and the directional ions charge the underlying oxide positively during overetch. For submicron features, the potential necessary to deflect low energy ions (<45eV) to form notches is ~500V/µm (5V/100Å) on an oxide surface. However, the magnitude of this field is within a factor of two to the breakdown voltage for bulk oxide, ~1000V/µm. Such a large field is likely to cause leakage along the surface, reducing the field and thereby preventing the deflection of ions to the extent needed to form notches. Even through bulk oxide, leakage is significant for 100Å thick oxide above applied voltages of 5V.
10:00 AM PS-MoM-6 The Influence of Insulator Charging on Ion Scattering and Feature Evolution During Plasma Etching
M.A. Vyvoda, D.B. Graves (University of California, Berkeley)
As aspect ratios of structures etched during semiconductor device fabrication increase, the flux of scattered ions from sidewall surfaces can become a significant component of the total ion flux to the feature bottom. Previous work1 has suggested that details of this scattering can strongly affect the ultimate shape of the feature bottom and therefore the success or failure of the etch process itself. However, relatively few studies describe a systematic investigation of the effects of insulator (e.g., hardmask) charging on ion scattering and feature profile evolution even though it is known that such charging can perturb ion trajectories and therefore affect ion scattering behavior.2 Using numerical simulations of electron and ion dynamics within trench structures in order to self-consistently calculate the local charging potential on insulating surfaces, combined with realistic ion scattering distributions from feature sidewalls,3 we show that charging of insulating materials during the etching of both semiconductors and insulators can have a large impact on the ion flux distribution along the feature bottom. Parameters varied in the simulation include mask angle, ion angular and energy distribution function, electron temperature, and trench aspect ratio. In general, under conditions of relatively low ion energy, narrow ion angular distribution function and near-vertical mask sidewalls, ion trajectories can be significantly perturbed by insulator charging, resulting in different ion flux distributions on the feature bottom. The coupling between the perturbation of ion trajectories incident upon feature sidewalls and the subsequent scattering characteristics of these ions is especially important. These results show that under certain combinations of independent variables, neglecting ion trajectory perturbation due to charging can lead to qualitative as well as quantitative errors in the prediction of local etch rates.


1
1 T.J. Dalton et al., J. Electrochem. Soc., 140(8), Pp. 2395 (1993);
2 M. Schaepkens and G.S. Oehrlein, Appl. Phys. Lett., 72(11), pp.1293 (1998);
3 C.F. Abrams and D.B. Graves, submitted to J. Vac. Sci. Technol. A (1998)

10:20 AM PS-MoM-7 Feature Profile Evolution during the High Density Plasma Etching of Patterned Polysilicon
A.P. Mahorowala, H. Sawin (Massachusetts Institute of Technology)
The two-dimensional Monte Carlo profile evolution simulator developed was used to explain the origin of artifacts such as double faceting of photoresist masks and feature bottom trenching observed during the high-density plasma etching of polysilicon, and to study the effects of feature charging on profile evolution. A designed set of experiments was performed earlier on photoresist patterned polysilicon samples on a Lam TCP 9400SE etcher varying the top power, bottom power and Cl2 gas flow rate. The catalog of SEM micrographs generated suggested strong dependencies of the artifacts mentioned above on feature aspect ratio, product formation rate and product residence time in the etching chamber. In particular, the surface composition at the top of the photoresist lines was found to govern the top facet angle; greater the deposition of silicon-based etching by-products, the less steep the facet. The lower facet angle was found to be controlled by the feature aspect ratio and the sticking probabilities and fluxes of the depositing materials. Feature bottom trenching was strongly linked to sidewall bowing. Trenching was found to begin when the passivating species were unable to prevent the sidewalls from bowing; the focussing effect of the curved sidewalls on the directional ions was determined to cause trenching. Finally, the realistic feature charging problem incorporating bulk conduction and surface leakage was solved to understand its role in profile evolution. For example, the high potentials developed near the feature bottom corners were found to reduce the energies of the ions striking the corners and correspondingly lower the etching rates.
10:40 AM PS-MoM-8 Modeling of Finite 3-Dimensional Features in High Density Plasma Etching1
R.J. Hoekstra, M.J. Kushner (University of Illinois, Urbana-Champaign)
The development of 2-dimensional profile simulators for fabrication of microelectronics devices has significantly progressed in recent years enabling modeling of etch profile evolution under many different processing conditions. Submicron device development and increasing device density leads to more complex and innately 3-dimensional features which require improved dimensionality in profile simulators. Two issues of concern are: 1) To what degree can 2-d simulators can be applied to modeling 3-d structures? and 2) What systemmatic perturbations to 2-d profiles are cause by 3-d structures (such as finite length trenches)? In this paper, results for the Monte Carlo Feature Profile Model (MCFPM) will be used to investigate the these issues. There are 2-d and 3-d versions of the MCFPM which are identical with the exception of increased dimensionality. Comparisons are made of profiles predicted by the 2-d and 3-d MCFPM for finite length trenches and square vias for etching of poly-Si in an ICP reactor using Cl2. The aspect ratio of the trench and the angular spread of the ion flux were varied. In the center of "long" trenches (e.g., 1 µm x 4 µm) profiles from the 2-d model are similar to those from the 3-d model except that the etch rate is lower due to increased shadowing of reactants. As the ends of the trenches are approached (that is, one is near "3-plane" corners) increased sidewall sloping and curvature is predicted from the 3-d models compared to the 2-d model. This necessitates a greater amount of over-etching to fully clear these features than predicted by 2-d models. The effects of angular spread and asymmetry of the ion flux, and redeposition of etch products were examined to determine their role in 3-d profile evolution. With redeposition, we found that narrow ion angular distributions were more sensitive to being near 3-plane corners, resulting in more variation in transverse etch profiles along the trench.


1
1Work supported by SRC and NSF.

11:00 AM PS-MoM-9 Charging Effects in Profile Evolution during Etching of Silicon in High-Density Plasmas
G.S. Hwang, K.P. Giapis (California Institute of Technology)
Charging effects are particularly important when high-density plasmas interact with patterned semiconductor surfaces containing mixed conducting and insulating layers. We employ the Direct Simulation Monte Carlo method to investigate the influence of mask charging by the electron shading effect on profile evolution of polysilicon during etching in a Cl2 plasma. We compare simulation results with and without charging as a function of ion temperature and mask thickness. We find that microtrenching and sidewall bowing are significantly affected by mask charging as a result of ion trajectory deflection by the local electric field between the mask sidewalls. The magnitude of the effect depends strongly on mask aspect ratio and shape. Differences in profile evolution during etching of nested and isolated lines could also be attributed to charging. The results reaffirm that feature-scale modeling can no longer exclude mask charging effects.
11:20 AM PS-MoM-10 Effect of Residual Chlorine (Cl) Atoms for Notching Formation in a High Density Plasma Reactor
H.C. Lee, Y.-B. Kim, S. Beckx, S. Vanhaelemeersch (IMEC vzw, Belgium)
Notching at the bottom corner of the etched polysilicon feature has been known as charging problem due to the electron shading effect,1 especially at the outermost line of the nested lines. Most of the experiments2 and simulations3 were conducted in a high density plasma reactor using Cl2 chemistry. However, notching still occurs in the RIE reactors with symmetrical shape. We believe that notching can be formed due to the combination of plasma charging and preferable reaction between Si and Cl atoms. In this study, notching was investigated in terms of polymerization and chemical reaction between Si and Cl or Br atoms in a TCP 9400 high density plasma reactor. Mixed chemistry of HBr/Cl2 and HBr/He-O2 was used as a main etch step and over etch step respectively. From the TEM inspection of etched polysilicon profiles, the thickness of polymer which deposited on the sidewall decreases along down the sidewall. Much thicker polymer was detected at the top portion of the sidewall than the ones at the bottom. Thus, bottom sidewall exposed to the plasma without the protection layer against Cl atoms. Sidewall polymer was analyzed by angle resolved XPS in order to understand the polymer composition. TOA (Take of Angle) was varied from 15° to 90° which is normal to the horizontal gate oxide surface. Cl2p peaks were detected from the TOA35° to TOA65° which means most of Cl bonds are located at the sidewall of the feature. Si was also detected in the form of SiO2 at the same TOA regime. At the TOA90°, however, intensity of Cl2p was very low, which explains that no Cl residues are on the etched gate oxide surface. It can be concluded that a lot of Cl atoms still remain on the sidewall even if it is not used during the over etch. In the meantime, Br3d peaks were detected whole range of TOA. With very low reaction probability of Br to Si, Br in the sidewall polymer also works as a protection layer. Consequently, notching has not been found in one step etching using HBr/He-O2. Without the protection layer, 50nm thick SiO2 was used as a mask material instead of photoresist, notching was increased dramatically. Residual Cl atoms play a major role in notching formation with combination of very thin polymer layer at the bottom corner of the features.


1
1K. Hashimoto: JJAP, 32, P6109, 1993.
2T. Nozawa et al.: JJAP, Vol. 34, P2107, 1995.
3G.S. Hwang and K.P. Giapis, Proceeding of P2ID, P63, 1997.

11:40 AM PS-MoM-11 A General Predictive Semi-Empirical Feature Profile Simulator
D.J. Cooperberg, V. Vahedi (Lam Research Corporation)
A multiple process, semi-empirical, 2-dimensional, feature profile evolution simulator has been developed and is being used to conduct mechanistic studies of processes including oxide etch, silicon etch, PECVD, and IPVD. The feature surface is advanced with a shock-tracking algorithm1. The simulator employs a Monte Carlo based scheme for determining particle fluxes to the surface. Trajectories of ions, depositing and etching species are followed. Etching and depositing agents are modeled using a sticking coefficient model. A Langmuir site balance model is employed at the discretized feature surface to compute rates. For oxide etch, an algorithm for simultaneous etching and deposition has been implemented which allows for the modeling of a steady-state fluorocarbon film during etching2. Physical sputtering, ion-assisted etching and scattering of ions at the feature surface are modeled along with redeposition of sputtered material. Ion energy and angular distributions can be supplied to the profile simulator from self-consistent sheath models or simulations. Parameters such as stickling coefficients, sputter and etch yields which are associated with each material (i.e. photo-resist, oxide, polymer, metal) within a feature have been determined in part by experiment. Polymer deposition experiments have been performed to determine polymer sticking coefficients and study the role of ion-assisted deposition. Sputtering experiments have been performed to carefully measure angular and energy dependence of sputter yield on resist and oxide. Experimental results from IPVD have also been used to determine the yield and threshold for physical sputtering. Parameters not yet measured through experiment have been chosen with the use of a calibration routine which determines the values which lead to optimal agreement between simulation and digitized SEM data. The mechanistic and the semi-empirical calibration approaches have given our profile simulation tool a predictive capability. Feature characteristics such as taper, bow, necking, etchstop, facet angles, step-coverage and trenching are captured with appropriate process parameters.


1
1Hmamguchi S., "Mathematical methods for Thin Film Deposition Simulations", in "Modeling of Film Deposition for Microelectronic Applications," Thin Films, vol. 22, ed. by S. Rossnagel, (Academic Press Inc., San Diego, 1996), pp. 81-115
2 Rueger, N.R.; Beulens, J.J.; Schaepkens, M.; Doemling, M.F.; Mirza J.M.; Standaert, T.E.F.M.; and Oehrlein, G.S., Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor. Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), July-Aug. 1997, vol.15, (no.4):1881-9.

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule