AVS1998 Session EM-WeM: Fundamentals of Si Cleaning and CMP

Wednesday, November 4, 1998 8:20 AM in Room 316

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1998 Schedule

Start Invited? Item
8:20 AM EM-WeM-1 Surface Preparation and Contamination Control for Advanced CMOS Processing
S.C. O'Brien (Texas Instruments)
Advanced CMOS device manufacturing requires levels of contamination which 20 years ago would have been considered science fiction. Particulates, metals, organics, haze, and residue from sacrificial films represent critical yield issues for DRAM and logic devices. Accurate and precise measurement of these species also represents an incredible challenge. The use of TRXRF, SIMS, EDX, and XPS as surface contaminant metrology techniques will be discussed. In many cases the contrast between metrology and analysis has not yet been fully explored. The use of non-destructive inline contaminant metrology is becoming much more important as the wafer size increases from 200 to 300mm. Quick turnaround time is needed for fast problem solving, while the ability to send a wafer for subsequent processing after measurement is valuable for superior problem solving. In addition, correlation of inline measurements with end of line yield is the only relevant method of discovering problems. Any inline defects which do not correlate with end of line yield are usually irrelevant. Specific areas of cleaning technology to be discussed are pre-cleans for thin gate oxides, pre-furnace cleans for metal gates and other metal gate technology, sidewall polymer removal for polysilicon gates, self-aligned cobalt and titanium silicides, sidewall polymer removal for aluminum lines, corrosion of copper and aluminum lines, contamination from new materials (such as ruthenium or BST), and copper processing.
9:00 AM EM-WeM-3 Dissolution of Cu and Au into Aqueous Semiconductor Processing Solutions
I. Suni, D. Chopra, A.A. Busnaina (Clarkson University)
Dissolution of Cu into 50:10:1 H2O:H2O2:NH4OH from p-type Si wafers was studied by total reflection x-ray fluorescence spectroscopy (TXRF). Starting from an initial surface coverage of approximately 1.2x1013 atoms/cm2, Cu dissolution was seen to be first order in Cu surface coverage, although slight deviations from this behavior are seen at longer times. The rate constant for this dissolution process was about 2.7x10-2 sec-1. Prior literature results indicate that Au dissolution into acidic solutions is also 1st order when dissolution is slow, but deviates from 1st order when dissolution is rapid. One possible explanation for these phenomena is that metal dissolution is a reversible reaction which slows down as the concentration of metal ions in solution increases and diffusion is slow. This explanation is consistent with recent results published by Sony Corp. The dissolution process in a wafer cleaning tank was modeled using experimentally determined constants through finite difference solution of the convective diffusion equation by the ADI method, including a 1st-order surface reaction as a boundary condition. The results demonstrate the transition between rate-limitation by surface reaction and rate-limitation by product diffusion as the dissolution rate is increased. This can be done by raising the temperature, increasing the solution strength, or using a more active oxidizing agent. The possibility is demonstrated of large removal gradients on the wafer surface arising from the coupling of convection, diffusion, dissolution and redeposition.
9:20 AM EM-WeM-4 A Reduced Carbon Contaminant, Very Low-Temperature Silicon Substrate Preparation for Defect-Free Homoepitaxy
P.J. Taylor, W.A. Jesser (University of Virginia); M. Martinka, K. Singley, J. Dinan (US Army CECOM-Night Vision and Electronic Sensors Directorate); R. Lareau, M. Wood, W.W. Clark III (US Army Research Laboratory)
A low-temperature cleaning technique incorporating an ultraviolet lightozone treatment with conventional hydrogen-passivation techniques is shown to yield record-low concentrations of surface contaminants: ≥ 0.01 monolayer (ML). An additional advantage is that no Atwater-type1 UHV prebaking treatments are required for substrates receiving this treatment prior to epitaxy. Low-temperature silicon molecular beam epitaxy (MBE) on such substrates is characterized by a threading dislocation density on the order of 104/cm2 (Schimmel etching2) which is a reduced threading dislocation density compared to that measured from films receiving more conventional hydrogen-passivation preparation techniques: typically between 105/cm2 and 106/cm2.3 The interfacial contamination was measured before homoepitaxy by in-situ Auger electron spectroscopy (AES) and after homoepitaxy using secondary-ion-massspectroscopy (SIMS). The results of this study suggest that aqueous solutions are a significant source of surface carbon and that an ultraviolet light-ozone treatment before hydrogen passivation is particularly efficacious for removing residual carbon on the silicon surface which would otherwise contribute to the generation of threading dislocations in Si homoepitaxial films.


1
1Nikzad, S., Wong, S., Ahn, C., Smith, A., Atwater, H., Appl. Phys. Lett. Vol. 63(10) p. 1414 (1993).
2Schimmel, D., Journ. Electrochem. Soc., Vol. 126 p. 479 (1979).
3Eaglesham, D., Higashi, G., Cerullo, M., Appl. Phys. Lett., Vol. 59(6) p. 685 (1991).

9:40 AM EM-WeM-5 The Modeling of Excimer Laser Particle Removal From Silicon Surfaces
X. Wu, E. Sacher, M. Meunier (École Polytechnique de Montréal, Canada)
The interaction of an excimer laser with a silicon surface can lead to the removal of submicrometer-sized contaminant particles. This technique is efficient, simple, fast and is chlorofluoracarbon-free, a considerable environmental advantage over standard cleaning techniques. In addition, the laser cleaning approach is compatible with cluster tools. Laser cleaning may be dry, meaning that no water was present on the sample surface during laser exposure. However, to increase removal efficiencies, the "steam" laser cleaning technique may used, where the pulsed laser beam is coupled to a liquid energy transfer medium, such as water, which is condensed on the silicon surface. We found that organic particles, such as polystyrene and carboxylate modified latices, with dimensions as low as 0.1 micrometers, can easily be removed by dry cleaning. However, inorganic particles, such as alumina and silica, of the same dimensions, can only be removed by steam cleaning. We have performed a detail analysis of the comparison between particle adhesion forces (van der Waals with deformation, capillary and chemical) and removal forces (thermoelastic, and bubble pressure when water is used) to understand laser particle removal efficiencies with respect to particle size and nature, as well as the effect of water. Our calculations show that, for organic particles during dry cleaning, the van der Waals deformation term is predominant for adhesion, and the dominant removal force is thermoelastic. However, for inorganic particles, the dominant adhesion force is that due to hydrogen bonding. Our calculations show that the presence of water greatly reduces the adhesion forces. This, coupled with the bubble pressure created by the explosive evaporation of water when exposed to the laser, leads to the higher efficiency found for steam cleaning.
10:00 AM EM-WeM-6 Chelating Agents for Dry Removal of Metals
A. Shah, L. Ford, L. Nigg, Y. Wang, R. Masel (University of Illinois, Urbana)
We and others have found that various diketonates can be used to remove metals from a variety of surfaces under dry conditions. In this paper we use a variety of surface spectroscopic techniques to characterize the process for the removal of copper, nickel and palladium from various surfaces. We find that there are two regimes with different reactivities, a regime where the metal is (reduced) metallic and a regime where the metal is oxidized. In the oxidized regime, the rate desorption step is volatilization of the metal. In this case, the metal removal rate goes as the volatility of the metal chelate, with hexafluoropentanedione(Hhfac) showing the highest removal rate followed by trifluoropentanedione (Htfac), Hexafluorooctanedione(HFOD), 2,4 pentanedione (Hacac), Tetramethylheptanedione (TMHD). In contrast in the reduced condition, oxidation is rate determining, and the removal rate follows almost the opposite trends. We have also found some unusual effect in the intermediate oxidation conditions. For example, if we work under conditions where Cu1+ forms, we observe much lower removal rates than under conditions where Cu0 or Cu2+ forms. Htfac is the best etchant under minimal oxygen conditions. Finally, we have also discovered that some of the intermediates can polymerize and decompose on the surface, leading to carbon contamination. The main contamination pathway seems to be enhanced by impurities in the source gases. Details are still unclear, but it appears that we need purer source gases than are presently available to do clean etching.
10:20 AM EM-WeM-7 Process Optimization of CMP of Dielectrics for ULSI Multilevel Metallization
H.W.A. Treichel (OnTrak Systems, Inc.); R. Frausto, A. Meyer, R. Morishige, S. Srivatsan, B. Withers (Lam Research Corporation)
The push of leading edge ULSI manufacturing technologies toward the formation of sub 0.1 µm feature sizes places extreme performance demands on the processes and equipment used. At submicron line widths, the depth-of-focus was limiting technology and CMP emerged as an essential enabling technology for feature sizes of 0.35 µm and below to meet the stringent DOF requirements for next generation devices. Thus, CMP emerged quickly and has become quite sophisticated.1 Current CMP systems are adaptations from existing glass and silicon polishing tools. These platforms are based on a rotating head and a circular platen. Lam Research recently introduced a new revolutionary linear track system (Aurora), an automated CMP machine which has a belt platen module that is capable of linear speeds up to 120 to 150 m/min, especially designed for planarization. This results in less pattern sensitive planarization, very high removal rates, and excellent uniformities at low head pressures. CMP is a very complex process. Its performance is determined not only by machine controlled parameters like belt speed, down force, and more, but also by consumables, pad conditioning and wear.2,3 In order to clarify the role of numerous factors as control parameters, extensive evaluations have been performed. This article reports on specific improvements in LPT technology. It also describes the major dependencies of machine parameters versus experimental results and finally highlights selected optimized CMP processes.


1
1R. DeJule, Semiconductor Int., 11, 15 (1996)
2S. Sivaram, H. Bath, R. Leggett, A. Maury, K. Mennig, and R. Tolles Solid State Technology, 5, 87 (1992)
3L.M. Cook, J.F. Wang, D.B. James, and A.R. Sethuraman Semiconductor Int., 11, 141 (1995)

11:00 AM EM-WeM-9 Nanoscale Corrosive Wear of Ionic Materials: A Model System for Chemical Mechanical Polishing
J.T. Dickinson, L. Scudiero, S.C. Langford (Washington State University)
Fundamental studies of chemical mechanical polishing (CMP) are needed to improve and extend CMP to new applications. In CMP, surfaces experience simultaneous tribological loading and corrosive chemical exposure, which together produce high wear rates and favorable topography. We employ scanning probe microscopy (SPM) of single crystal surfaces in mildly corrosive solutions, where the SPM tip provides the mechanical stimulation in a controlled fashion. The applied normal force, the location of the contact, and lateral motion are readily controlled. For simplicity we choose model systems where the corrosive agent is water (properly buffered) and the single crystals are slightly soluble. These include calcite [CaCO3] and brushite [CaHPO4 2H2O] (a model biomaterial). Silicon nitride tips are used with applied normal loads from 0-300 nN, tip radii 30 nm and tip velocities from 1-200 µm/s. Quantitative data on the role of normal force, lateral velocity, surrounding surface topography, and solution chemistry can be obtained from images of the wear of atomic steps. The wear rate is a highly non-linear function of applied normal force (essentially exponential). Our results are interpreted in terms of stressed enhanced dissolution of steps, where double kink nucleation is the rate limiting process. We present a model which fits data for all systems examined to date. Careful analysis of step stability explain the observed sensitivity of certain step orientations to the enhanced wear induced by stress. Studies of atomically flat planarization of surfaces produced by controlled scanning are presented. This work is supported in part by the National Science Foundation under Grant CMS-9414405.
11:20 AM EM-WeM-10 Studies on Passivation Behavior of Tungsten in Application to Chemical Mechanical Polishing
D. Tamboli, S. Seal, A. Kale, V. Desai (University of Central Florida); Y. Obeng, A. Maury (Bell Laboratories, Lucent Technologies)
Chemical mechanical polishing (CMP) is considered to be the enabling technology for meeting the planarization requirements in < 0.35 micron feature sized multi-level devices and interconnects in semiconductor industries. Considerable importance is given to the role played by the passive oxide film formation on the surface in CMP process.1 However, there are very few studies available in open literature regarding the characterization of surface reaction products in terms of chemistry and thickness of the reaction layers.2 In this research study, X-ray Photoelectron Spectroscopy is used to understand modification of surfaces (i.e. oxide layers) during the CMP process. Tungsten wafers are treated in static solutions with varying pH, oxidizer levels, temperature and applied potential in order to determine the effect of these parameters on the chemistry of the passive oxide layers. XPS measurements are employed to probe the chemical and stoichiometric changes (e.g. WO2/WO3 ratio, presence of any hydroxides, etc.) in these surface films treated under various conditions. Valence band XPS studies on W(4f) and O (2p) states are performed to determine the electronic structure of the oxide layer and its relevance to passivation. These results are compared with the electrochemical studies carried out both ex-situ and in-situ during polishing.


1
1F.B. Kaufman, et al., J. Electrochem. Soc., 138, 3460, 1991
2
2E.A. Kneer , et al., J. of the Electrochem. Soc., 143, 4095, Dec 1996

11:40 AM EM-WeM-11 Studies of the Pad-Slurry-Surface Interactions in Chemical-Mechanical Polishing of Copper Thin Films and Patterned Structures
J. Hernandez, P. Wrschka, G.S. Oehrlein (State University of New York, Albany); J. King (Cybeq Nano Technologies)
Chemical mechanical polishing (CMP) of copper is a key technology for producing sub-micrometer Cu lines in multilevel metallization structures. In this work, blanket copper, tantalum and silicon dioxide thin films as well as copper damascene structures were polished using different pads and slurries. Selectivities of Cu/SiO2 as high as 200:1 were observed after polishing at low pressures and velocities utilizing slurries consisting of alumina abrasive particles. Examination of planarized Cu damascene microstructures by scanning electron microscopy allowed us to investigate critical issues in CMP such as SiO2 spacer erosion, copper line recess, corrosion of sub-micron copper lines, slurry contamination, and removal selectivity of the Ta diffusion barrier. Finally, surface chemical studies of Cu, Ta, and SiO2 thin films and the pad after CMP by x-ray photoelectron spectroscopy were undertaken to assist in the identification of the controlling factors of the CMP process.
Time Period WeM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1998 Schedule