AVS1997 Session PS-FrM: Low-K Dielectric and Organic Plasma Processes

Friday, October 24, 1997 8:20 AM in Room A5/6

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
8:20 AM PS-FrM-1 Challenges from the NTRS for Interconnects
D.B. Fraser (Intel Corporation)
The evolutionary extension of Moore's Law to future silicon integrated technology generations leads to a number of questions regarding the limits of optical lithography and pattern transfer, the viability of transistor function and the fundamental limits of the materials in the interconnect system. The interconnect challenges for logic technology are perceived to be more severe than those for memory. Increasing the levels of metallization increases the penalties of cost and defects so that alternatives to the present oxide dielectrics and aluminum alloy systems are under active development. These alternatives include copper metallization and low K dielectrics. Because of the different characteristics of these materials they represent unkowns in terms of integration and reliability. Much of the challenge is in the fundamental understanding of the materials and the processing that will be required. In particular, the processing, including patterning, of copper in a production facility, the reliability of interconnect systems with very different mechanical properties are typical. Accepting the limit in conductivity of copper at ambient temperatures and the limit K~1 provides an ultimate for conventional interconnect systems. To extend the frontier in interconnects will require aglobal perspective and synergy with the packaging and design/architecture communities.
9:00 AM PS-FrM-3 Low k Dielectrics Etching : Application to via Level for Sub-quarter Micron Technology
F. Vinet (CEA, France); P. Czuprynski, O. Joubert (CNRS, France); D.M. Mariolle, E.T. Tabouret (CEA, France)
The reduction of capacitance between metal lines is of major concern as the design rules of integarted circuits are scaling down. Among the commercially available products, the Flowable Oxide Hydrogen SilsesQuioxane ( FOx from Allied Chemicals) material has been selected for its lower dielectric constant value (2.7-2.9) compared to standard oxides (3.9-4.1) and its stability in agreement with the required thermal budget. A Magnetically enhanced Reactive Ion Etching(MRIE) etcher system using a C4F8/CO/O2/Ar chemistry has been evaluated to study the etching capability of FOx for 0.25 and 0.3 µm vias holes. The stack to be etched consisted in 50nm thick TEOS deposited on the metal level (AlCu/TiN). A 450 nm FOx thick layer was then deposited on top of the TEOS layer. Finally the FOx layer was covered by a 500 nm thick TEOS layer . Depending on the metal lines density, the FOx thickness varied from 10 nm up to the nominal thickness. The etching behavior of FOx versus TEOS was investigated. Profiles of the vias holes and selectivity to TiN underlayer were also studied. To achieve successfully the etching of this stack, the plasma operating parameters have to be optimized in order to etch TEOS and FOx at similar etch rates. This condition was found to be important to avoid etch stop at the interface between FOx and TEOS. This was achieved by increasing the oxygen flow in the gas phase to between 3 and 5 sccm compared to 2 sccm in the case of TEOS. Under thses conditions, the selectivity to TiN was critical but this point was optimized by using end point detection system (TiN loss was less than 10 nm in 0.25 µm holes with 50% overetch). Apart from O2 flow, all the other parameters studied (C4F8, CO, Ar flows, pressur, power, temperature) had the same influence on the etching behavior of FOx and TEOS. No lateral degradation of the vias was observed using different delineation technics. XPS experiments were conducted in holes of various size to study the chemical composition of the fluorocarbon polymer at the bottom of holes. The fluorine to carbon content (F/C) ratio measured was between 0.15 and 0.2 in all the holes analyzed. This fluorocarbon polymer was classified as a low fluorinated polymer which is in favor of a good selectivity with respect to the underlayer. The slight undercut observed between TEOS and FOx was attributed to the lower thickness of the fluorocarbon passivating polymer deposited on the FOx sidewall than on the TEOS sidewall, as measured by XPS. The same study has been performed on Methyl SilsesQuioxane material. We have shown an increase sensistivity to O2 flow ; this material requires another type of chemistry or etcher equipment using HDP source.
9:20 AM PS-FrM-4 Effect of Precursors on the Properties of Pulsed Plasma Enhanced Chemical Vapor Deposited Fluorocarbon Thin Films
C.B. Labelle, S.J. Limb, K.K. Gleason (Massachusetts Institute of Technology)
Comparisons have been made between fluorocarbon thin films deposited by pulsed plasma enhanced chemical vapor deposition using a series of different monomers, with and without hydrogen addition. Pulsing the plasma allows for control of film characteristics such as composition (C1s XPS, 19F NMR), surface morphology (atomic force microscopy), gap-fill, dangling bond concentration (electron spin resonance), and dielectric constant by manipulating the pulse cycling conditions. Results for films deposited from hexafluoroproplyene oxide (HFPO) indicate that surface roughness, dangling bond concentration, and dielectric constant all decrease with increasing pulse off time for a fixed pulse on time (typically 10 ms), whereas gap-fill characteristics improve with decreasing pulse off time for a fixed pulse on time. Gap-fill properties are also dependent on the deposition temperature, with higher temperatures generally leading to better gap fill. Film composition becomes increasingly poly(tetrafluoroethylene)-like (PTFE-like) (i.e., CF2 content) with increasing pulse off time for a fixed pulse on time. Adhesion characteristics have also been examined for films deposited on several different substrates. The use of a fluorinated self-assembled monolayer to act as an initial adhesive layer (Si - Si bond vs. Si - C bond) has also been studied.
9:40 AM PS-FrM-5 Structure and Chemical Composition of Fluorinated SiO2 Films Deposited Using SiF4/O2 and SiF4/SiH4/O2 Plasmas
S.M. Han, E.S. Aydil (University of California, Santa Barbara)
Attenuated total reflection Fourier transform infrared spectroscopy and spectroscopic ellipsometry were employed for chemical and structural analysis of fluorinated SiO2 deposited in SiF4/O2 and SiF4/SiH4/O2 plasmas. Isotopic substitution of 16O2 with 18O2 in the feed gas mixture was used to determine whether F is bonded to Si or O atoms in the film. Oxide depositions with O2 isotopes revealed that the SiO-F stretching mode appears at 1372 cm-1 but only when the SiF4-to-O2 ratio of the feed gas mixture is reduced below 0.1. The SiOF species in fluorinated SiO2 result from insertion of O into SiF bonds incorporated into the film. Deposition experiments using 16O2 and 18O2 also helped identify silicon mono- and di-fluorides, which were most abundant in fluorinated SiO2. In films deposited from SiF4 and O2, the concentration of silicon fluorides increases monotonically with increasing SiF4-to-O2 ratio. The increase in silicon fluoride concentration causes the Si-O-Si phonon peaks to blue-shift and narrow by relaxing the Si-O-Si bond angle and by decreasing the film density. Narrowing of the SiO2 phonon at 1080 cm-1 is shown to be due to the SiOSi bond angle relaxation and not due to a more homogenous and ordered structure of fluorinated SiO2 than that of unfluorinated SiO2. In films deposited from SiF4/SiH4/O2 plasmas, the fluorine incorporation also results in gradual blue-shift and narrowing of the SiOSi phonon peak. This shift is also due to the SiOSi bond angle relaxation and indicates that it is possible to control the bond angle distribution of oxide films by adjusting the SiF4-to-SiH4 ratio in the feed gas. The hydroxyl concentration decreases with increasing SiF4-to-SiH4 ratio and becomes minimal when this ratio is greater than 1.
10:00 AM PS-FrM-6 Nuclear Magnetic Resonance (NMR) Spectroscopy of Low-k Fluorocarbon Films from Pulsed Plasma Enhanced Chemical Vapor Deposition
K.K. Lau, K.K. Gleason (Massachusetts Institute of Technology)
Solid-state nuclear magnetic resonance (NMR) spectroscopy is demonstrated as a valuable technique for characterizing low-k dielectric films. To date, X-ray photoelectron spectroscopy (XPS) has been the primary method for studying fluorocarbon films from plasma enhanced chemical vapor deposition (PECVD). XPS reveals these films contain a variety of fluorocarbon moieties - CF3, CF2, CF and quaternary carbons - which suggest highly complex and heterogeneous structures. With a pulsed modulation of the radiofrequency plasma excitation, films having a dielectric constant of <2 can be formed and XPS shows a predominance of CF2 fraction within the films. 19F and 13C NMR of these pulsed PECVD films give substantially more structural information, revealing nearest neighbor groups attached to the fluorocarbon moieties, differentiating between groups such as -CF2CF2- and -CF2CF3. This allows a greater understanding of film structure and also the effect a pulsed plasma has on deposition chemistry. Furthermore, annealing studies using NMR characterization are able to identify structural changes occurring and offer insight into the factors affecting the thermal stability of low-k fluorocarbon films. Results from both one-dimensional 19F fast magic angle spinning (MAS) and two-dimensional 19F-13C NMR experiments will be discussed.
10:20 AM PS-FrM-7 Stability of Si-O-F Low-K Dielectrics: Ab Initio Calculations of Reactions between Water Molecules and Si-F Bonding Groups
H. Yang, G.L. Lucovsky (North Carolina State University)
Ab initio calculations have demonstrated that introduction of Si-F bonds into the SiO2 network modifies the Si-O-Si bond angle distribution, shifting the frequencies of Si-O-Si asymmetric bond-stretching vibrations to higher wavenumbers; this in turn reduces the contribution of these modes to the static dielectric constant 1. This paper addresses the stability of Si-O-F alloys films with respect to attack of Si-F bonds by water molecules. Two different pathways are considered: i) interactions with isolated Si-F bonds via the reaction: H2O + Si-F ---> Si-OH +HF and ii) interactions with near neighbor Si-F bonds via the reaction: H2O + 2Si-F ---> 2HF + Si-O-Si. The second reaction proceeds in two steps: i) attack of individual Si-F bonds: 2H2O +2 Si-F ---> 2Si-OH +2HF, followed by ii) recombination of near neighbor Si-OH groups: 2Si-OH --> Si-O-Si + H2O. The ab initio calculations emphasize transition state energies and geometries. The transition states for the second reaction have been studied as a function of the distance between F-atoms of near neighbor Si-F groups, thereby identifying a maximum F-F distance below which the second reaction pathway can occur. Combining the ab initio calculations with a statistical model of the alloy bonding as presented in Ref. 1, an upper limit of ~10-12 at. % F has been determined for chemically stable Si-O-F alloys; i.e., alloys in which attack of Si-F bonds by H2O is minimized. Increasing the F-content further increases the concentration of near neighbor Si-F bonds which are then open to attack by H2O via reaction pathway ii). Incorporation of F at levels of 10-12 at.% reduces the static dielectric constant in plasma-deposited Si-O-F ffrom ~4.1 to ~3.2 - 3.4, defining the extent to which Si-O-F alloys can be effective as low-K materials in silicon device interconnect technology.


1G. Lucovsky and H. Yang, J. Vac. Sci. Technol. A 15 (1997) in press.

10:40 AM PS-FrM-8 Pulsed Plasma Deposition of SiOx Barrier Coatings from HMDSO/O2 Mixtures.
L.P. Wainwright, M. Dalvie (Becton Dickinson Research Center); M. Varriale, M. Rathor, A.E. Wendt (University of Wisconsin, Madison)
Advantages of pulsed vs. continuous wave (CW) plasma processes have been widely reported on in the literature. These stem from, e.g., redistribution of neutral gas molecules, modification of gas composition steady state, or modification of the plasma steady state. Besides possible process improvements from the above phenomena, pulsing has also been used as a diagnostic method to discern mechanisms (and time scales) at work in the plasma process. Here, we use pulsed plasma excitation to study the kinetics of growth of SiOx permeation barrier films on three dimensional containers from HMDSO/O2 mixtures in an rf discharge reactor. The 13.56 MHz excitation of the discharge is pulsed using amplitude modulation by square waves of varying duty cycle. Plasma off times are varied from 5-50 ms, and duty cycles are varied between 15-100%. The substrate material is polyethylene terephthalate, which is not a suitable substrate for thin film diagnostic techniques such as ellipsometry or FTIR. Therefore, we expose silicon wafers as ?witness? samples to the discharge. These are subsequently analyzed by ellipsometry and FTIR spectroscopy. The resulting barrier performance of the coatings is discussed in the context of changes observed in the deposition rate and film chemistry. Better barrier performance is seen under conditions of lower net deposition rate, indicating a necessary competitive etching process. Observed variation in barrier and other properties of coatings is correlated with changes in mass and optical emission spectra obtained from the plasma during the deposition.
11:00 AM PS-FrM-9 Investigation of the Chemistry, Morphology and Growth Mechanisms of SiO2 Films Deposited on Silanized PET and Polycarbonate Substrates by PECVD
W.L. Gardner (Oak Ridge National Laboratory); M. Dalvie (Becton Dickinson Research Center)
Surface treatments for deposition processes on polymeric substrates, e.g., to promote adhesion between coating and substrate, are well known in the literature. Such treatments are used to induce physical and/or chemical changes in the substrate surface to influence polymer/film interface properties. For instance, exposure of polymeric surfaces to plasmas of Ar, N2, O2, as well as more reactive gases prior to the deposition of inorganic oxides have been discussed in the literature. An example of chemical treatment of a polymeric surface is "silanization" of the surface prior to deposition. One common effect of silanization is the significant increase in nucleation site density that can assist initial film formation. Here we discuss a silanization treatment for both polyethylene terephthalate (PET) and polycarbonate substrates for SiO2 film growth from HMDSO/O2 mixtures in an RF discharge reactor. Chemistry and growth morphology of the resultant SiO2 films are studied using x-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). We discuss our understanding of differences in films deposited on treated and untreated substrates relative to existing literature. One observation of interest is that treated polymeric surfaces, prior to deposition, are stable over long periods of exposure (weeks) to ambient indoor conditions. A second observation is that the surface treatment has negligible effect on coatings grown on Si substrates, indicating that such treatment is substrate specific to a degree. ORNL is managed by Lockheed Martin Energy Research Corp. for the U.S. DOE under contract no. DE-AC05-96OR22464.
11:20 AM PS-FrM-10 Transparent Barrier Coatings on PET by Dual-Frequency PECVD.
A.S. da Silva Sobrinho, M. Latreche, J.E. Klemberg-Sapieha, M.R. Wertheimer (Ecole Polytechnique de Montreal, Canada)
Transparent barrier coatings on polymers are receiving much attention in industry, for food and beverage packaging applications. Plasma-enhanced chemical vapor deposition (PECVD) is among several competing techniques which can produce thin layers of inorganic glassy barrier materials. In this paper we describe the performance of silicon compounds (SiO2 and SiNy 1) on 13 µm PET substrates, the barrier coatings being deposited in a dual-frequency (microwave/radiofrequency) pilot-scale PECVD reactor for continuously-moving flexible webs up to 30 cm in width 2. The volatile silicon compounds used for SiO2 deposition are HMDSO (Si2OC6H18) or SiH4, while only the latter serves to deposit SiNy. Coating thicknesses, d, in the range 10 nm ≤ d ≤ 200 nm, are measured using a variety of techniques, namely profilometry, interferometry, X-ray fluorescence, and spectroscopic ellipsometry, while film compositions are determined by X-Ray Photoelectron Spectroscopy, XPS. Oxygen (OTR) and water vapor (WVTR) permeation measurements are carried out with MOCON "Oxtran" and "Permatran-W" instruments, respectively. As also reported by other workers 3, we typically find OTR of about 0.5 cc/m2/day and WVTR about 0.5 g/m2/day for barrier thicknesses exceeding a "critical" value (dc about 15 nm), but the minimum permeation values depend upon the concentration of defect sites in the coating (mostly related to substrate microroughness). In order to confirm this correlation, we have developed a technique combining reactive ion etching (RIE) through the PET, followed by ß radiography using a 14C source, to characterize the types and number densities of coating defects.


1J. E. Klemberg-Sapieha, L. Martinu, O. M. Küttel and M. R. Wertheimer, Soc. Vac. Coaters, Proc. Annu. Tech. Conf. 36, 445 (1993).
2M. R. Wertheimer, H. R. Thomas, M. J. Perri, J. E. Klemberg-Sapieha and L. Martinu, Pure and Appl. Chem. 68, 1047 (1996).
3H. Chatham, Surface and Coatings Technology 78, 1 (1996).

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule