ALD/ALE 2024 Session AM-MoP: ALD for Manufacturing Poster Session

Monday, August 5, 2024 5:45 PM in Room Hall 3
Monday Evening

Session Abstract Book
(331KB, Jun 24, 2024)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

AM-MoP-1 Thin-Film-Transistor Based ALD Sensors
Kohei Yamano, Hibiki Takeda, Ryo Miyazawa, Masanori Miura, Bashir Ahmmad, Fumihiko Hirose (Graduate School of Science and Engineering, Yamagata University, Japan)

In-situ monitoring of the ALD process, especially the surface reaction, is important to achieve the optimal condition in the ALD process. In our laboratory, the nanothick TiO2 channel thin film transistor was developed for UV and gas sensing, where the adsorbed species affected the channel conductance. In the present study, the nanothick TFT was examined for monitoring the surface chemical reaction in the RT atomic layer deposition.

Fig. 1 shows the TiO2 TFT used for the monitoring. The channel layer was an anatase TiO2 film which was deposited on a SiO2-stacked Si (100) substrate by atomic layer deposition followed by the post-annealing at 500 ºC. The Si substrate was heavily doped with antimony to serve as the gate electrode. The SiO2 layer as the gate capacitor was as thick as 300 nm. The Ti electrodes as drain and source were fabricated on the channel. The gate length and width were 60 and 1000 μm, respectively. The TiO2 TFT was placed in the room-temperature atomic layer deposition (RT-ALD) chamber as shown in Fig.2. The gate voltage was 0 V while the drain voltage was 20 V during the measurement. In the RT-ALD, we used tetrakis(dimethyl)amido titanium (TDMAT) and plasma-excited humidified argon. The TDMAT pressure was 0.8 Pa and the flow rate of the plasma-excited humidified argon was 5 sccm. The temperature of the reaction chamber was set at RT (22 ºC).

During the RTALD process, the drain current waveform was monitored as shown in Fig. 3. The drain current (Id) was changed cyclically according to the ALD process. In the oxidization step by the plasma-excited humidified Ar, the drain current surged up sharply and then decreased to equilibrium. In the pumping step, the drain current continued to decrease. In the adsorption step of TDMAT, the drain current increased slightly at first and then decreased. Although we have not fully understood the mechanism, we still consider that the surface oxidation and OH densities might affect the drain current. In the oxidization step, the surge-up might result from the initial oxidization of TDMAT, and the surface was covered with OH moieties. In the next pumping step, a certain amount of OH moieties might desorb from the surface as H2O, leading to the decrease of Id. In the TDMAT process, the TDMAT adsorbed on the surface to consume the OH moieties, which caused the decrease of the current. The strong modulation in the oxidizing step suggests that the change in the OH density is correlated with the drain current. We believe that the present TFT sensor can be used for the monitoring of the oxidizing species

View Supplemental Document (pdf)
AM-MoP-2 Accurate and Fast Wafer Level Conformality Analysis Method for ALD Films in Manufacturing
Thomas Werner, Mamoona Zaheer, Jussi Kinnunen, Anish Philip (Chipmetrics OY); Kati Kühnel, Nora Haufe (Fraunhofer IPMS)

The 3D megatrend and miniaturization of devices in microelectronics has made both high-aspect ratio (HAR) features and conformal coating inevitable in today’s semiconductor industry. The extensive list of semiconductor devices relying on HAR structures for their optimal application underscores the critical need for conformality assessment tools. The PillarHall® lateral high aspect ratio (LHAR) test structures stand out as unique measurement test vehicles for the conformality characterization of thin film coating processes1. They facilitate accurate and repeatable film penetration depth (PD) profile measurements aiding in the prediction and quantification of step coverage across various high aspect ratio structures. Here in the current research, we demonstrate the significant value of these LHAR test chips in assessing the wafer scale conformality. This knowledge is very crucial for tools aiming conformality on 200- or 300-mm wafers. In our approach, we placed 10 LHAR chips on a 200 mm wafer and aimed for 20 nm thick Al2O3 (TMA+H2O thermal ALD) deposition in a crossflow reactor. Our results indicate in some extent the influence of precursor inlet and outlet location in the ALD rection chamber on both film penetration depth and film thickness of the studied Al2O3. Apparently conformality variations on different wafer positions that can at least partially be related to the flow dynamics of the crossflow reactor. Furthermore, conformality can depend also on the presence of competing reaction mechanisms and kinetics in the high aspect ratio cavity and cavity opening area which can be derived from the location specific film penetration depth profiles (Fig. 1). Current research also focused on the industry compatible chip assembly on 300 mm pocket wafers. Contamination data from the 300 mm pocket wafer with chips are shown to demonstrate the compatibility of this approach for the FEOL applications. The study showed that PillarHall pocket wafers provide accurate information of the conformality as well as film growth mechanisms through the film penetration depth profiles in the different positions on the wafer and can be valuable tool in ALD process control and monitoring as well as tool qualification.

References
1. J. Yim and O. M. E. Ylivaara et al., Phys. Chem. Chem. Phys., 22 (2020), 23107

View Supplemental Document (pdf)
AM-MoP-3 In-Situ Gas Monitoring of ALD Processes Using Remote Optical Emission Spectroscopy
Erik Cox, Joseph Brindley, Dermot Monoghan (Gencoa)

Effective and robust monitoring of individual gas concentrations during the ALD processes offer a unique insight into the process behaviour as well as being an important step in the eventual wide-spread industrialisation of the ALD technique.

Conventional quadrupole residual gas analysers have difficulty monitoring ALD processes due to the high process pressures and the presence of contaminating hydrocarbons contained within many ALD precursors. For these reasons monitoring of precursor gas concentrations during the ALD process is not often undertaken, especially at the production stage.

An alternative gas sensing technique that operates directly at pressures above 10e-4 mbar has been built around remote plasma emission monitoring. This technique involves the generation of a small, remote plasma using an inverted magnetron placed within the ALD vacuum system. Consequently, species that are present within the vacuum become excited in the sensor’s plasma, emitting a spectrum of light, which can then be used to identify and monitor the emitting species. Importantly, this plasma, generated inside the sensor, has a sole function as a gas detector and does not affect the ALD process itself.

This work will demonstrate that the sensing method is robust when exposed to the ALD processing environment. Previous work had demonstrated the usefulness of this technique, but limitations were encountered when using a DC voltage to generate the sensor’s plasma as contamination and reduced sensitivity developed when used with certain precursors. This work will describe a novel method of generating the detector plasma using a high peak power, low duty cycle pulsed voltage. It will be demonstrated that the pulsed power technique is more effective than DC in preventing contamination of the sensor’s electrodes as well as improving the detection sensitivity of common ALD precursors and their reaction by-products.

Examples of this sensing technique’s practical uses for Al2O3 processes are discussed; this includes detection of contaminants, optimising purge cycle length and monitoring the reaction dynamics in terms of precursor gas consumption and reaction by-products.

AM-MoP-4 Batch Coating of Gas Lines by Atomic Layer Deposition
Lassi Leppilahti, Daria Nevstrueva (Beneq)

Gas lines in highly sensitive application e.g. in the process equipment in the semiconductor industry are typically made of stainless steel. They can be susceptible to corrosion from process gases such as ammonia or hydrogen fluoride. ALD coatings are excellent as protective coatings due to their high density and defect-free nature.

In this presentation an ALD solution for batch coating of gas lines is discussed. A special setup for coating gas lines was designed for the Beneq P800 system. In this setup the typical box-shaped reaction chamber was replaced with two manifolds splitting the gas feed into 30 parallel lines. These parallel lines could then accommodate series of gas lines substrates with total end to end length of 1 m.

30 pieces of straight 1 m long gas lines were coated with Al2O3 and Y2O3 to demonstrate the capability of the system. Film thickness and uniformity were monitored on silicon coupons placed inside the manifolds. Al2O3 was deposited from trimethylaluminium (TMA) and water. 52 nm film was grown with 1.04 Å/c growth per cycle and 4.7 % relative standard deviation. Y2O3 was deposited from tris(methylcyclopentadienyl)yttrium and water. 270 nm film was grown with 1.48 Å/c growth per cycle and 5.8 % relative standard deviation.

View Supplemental Document (pdf)
AM-MoP-5 Implementing of ALD in Post-CMOS-Compatible 200 Mm Wafer Processes
Rahel-Manuela Neubieser, Marvin Michel, Alexander Litke, Nils Boysen (Fraunhofer IMS); Anjana Devi (Ruhr Universität Bochum)

The successful implementation of new ALD materials in standard 200 mm wafer fabrication processes poses challenges, particularly concerning post-processing stability of (semi-)conductive materials. This study addresses the critical issue of ensuring the stability of thin layers during post-processing steps such as patterning, chemical etching, and resist removal. Since ALD as a process is primarily predestined for thin layers consisting of a few monolayers, the influence of further process steps must be evaluated all the more critically due to the high surface to layer thickness ratio. For instance, ion beam etching processes can lead to photoresist cross-linking, requiring plasma ashing of the resist. Additionally, chemical selective etching may result in underetching of the photoresist, leading to alterations in critical dimensions as well as typical cleaning processes may attack the sensitive layers. The specific focus of this work is on the post-processing of MoS2, a semi-conductive material, deposited by ALD at low temperatures (T = 100 °C) for gas sensing applications. To assess its stability and suitability for industrial high-volume processes, the sheet resistance of the MoS2 layer is measured before and after typical post-processing steps. By analyzing the sheet resistance of the MoS2 layer, valuable insights can be gained regarding its stability against further processing steps in semiconductor manufacturing. These insights are crucial for assessing the feasibility of integrating MoS2 and similar ALD materials into industrial-scale processes.

AM-MoP-6 Optimization and Scale-Up of MgO Thin Film Production via Thermal Atomic Layer Deposition for Industrial Applications
Muhammad Ahmad, Nina Lamminmäki, Emmi Manninen, Parmish Kaur (Picosun Oy)

Magnesium oxide is a versatile material with insulating properties, high dielectric strength, a wide bandgap, high breakdown field, excellent stability, and durability. This study presents the fabrication of magnesium oxide (MgO) thin films via thermal Atomic Layer Deposition (ALD) utilizing sequential exposure of a magnesium precursor and its co-reactant, H2O for a batch of 25 wafers of 200 mm diameter. The self-limiting nature of the batch ALD mechanism was established through fine-tuning various parameters including canister and deposition temperatures, metal precursor exposure time, and oxidant pulse time. The film thickness was characterized using ellipsometry, revealing a growth rate of 0.95 Å/cycle. X-ray Reflectivity (XRR) analysis determined the density of the MgO thin film to be 3.50 g/cm3 with a surface roughness of 1.3 nm. Time-of-Flight Elastic Recoil Detection Analysis (ToF-ERDA) confirmed the high purity of the magnesium oxide film, exhibiting a stoichiometry close to 1:1 with negligible nitrogen and carbon content and <1.5% hydrogen content. Therefore, the scalability of highly pure, stoichiometric and uniform magnesium oxide is emphasized, underscoring its suitability for industrial applications.

AM-MoP-7 Method to Evaluate Vapor and Droplet Content from a Direct Liquid Injection Vaporizer using Fourier Transform Infrared Spectroscopy
David Curran (MSP--A Division of TSI)

In the realm of semiconductor technology, high-k dielectrics are a material at the forefront of technological advances.Chemical vapor deposition and atomic layer deposition processes of high-k dielectric precursors are typically delivered by means of a bubbler or a flow over vessel.These precursors often have low vapor pressure and are thermally sensitive, limiting the throughput of the vapor delivery system. Direct liquid injection (DLI) vaporizing systems allow the throughput of the vapor delivery system to be increased by generating nanometer to micron sized droplets of the precursors, improving the heat transfer to the liquid.However, incomplete vaporization of precursor droplets can lead to imperfections in the deposition.

The method developed in this presentation employs the use of Fourier Transform Infrared (FTIR) spectroscopy to conduct real-time measurements of vapor concentration and droplet content of the outlet stream of a DLI vaporizer delivery system.The spectral measurement yields a signature of the vapor + droplet phases present in the gas cell of the FTIR.The measurement yields two calculated efficiency values, concentration efficiency and evaporation efficiency, both of which require careful calibration to yield accurate results.

Concentration efficiency is an in-situ measurement of the vapor phase present in the gas cell of the FTIR, found by integrating over absorbance bands in the IR for each precursor.In this presentation, it is shown that tetraethyl orthosilicate (TEOS) vapor concentrations can be measured rapidly and with high linearity using partial least squares analysis.The molar vapor concentration reported by the FTIR is then compared to the molar concentration of liquid supplied to the DLI vaporizer and a concentration efficiency is calculated.

Evaporation efficiency is calculated to account for the non-volatility of many of the high-k dielectric precursors.When droplets are present in a participating media, spectral shifts can occur in the measured signal from the FTIR, due to attenuation through a spherical liquid.This calculation couples Mie theory and information about the spectral and thermophysical properties of the liquid phase of the precursor and the droplets generated by the DLI atomizer. By investigating portions of the IR spectra where wavelengths are on the same order of magnitude as droplet diameters in the participating media, the spectral shifts can be quantified, and an evaporation efficiency is calculated.Combined the efficiencies are used to evaluate the DLI vaporizers for high throughput chemical vapor and atomic layer deposition applications.

AM-MoP-9 Effect of Gas Injection Design on Conformality in High Aspect Ratio Structures in Batch ALD Reactor
Andrey Smirnov, Yury Shustrov, Ivan Petras (Semiconductor Technology Research d.o.o. Beograd)

ALD is typically characterized by two main steps with self-limiting reactions of reactants from the gas phase with the surface. Each step requires a certain time to ensure complete coverage of the surface by precursor and cleaning of the reactor volume. Thus, in order to improve ALD performance for 300 mm wafers and, especially, with the deep trench structures, it is necessary to increase efficiency of precursors delivery to the surfaces and shorten steps duration.

A comprehensive modeling may help to improve the precursors delivery to the field position, sidewalls, and bottom of the high aspect ratio trench at patterned wafer based on the analysis of the gas flow distribution in ALD reactor. Sensitivity analysis to the operating conditions allows tuning the recipe in order to achieve uniform deposition over the wafer and reduce the duration of precursor deposition and purging steps. However, such models require an accurate connection between reactor-scale and feature-scale (trench, hole, etc.) simulations.

This work is focused on the improvement of Al2O3 and HfO2 batch ALD performance on the patterned wafers based on the re-design of gas injection system, steps duration, and operating conditions. Integrated modeling approach was developed to consider the self-consistent coupling of modeling tasks at different scales. The reactor-scale model of TMA and HfCl4 precursors delivery and purging steps include heat transfer and gas flow with chemical reactions. Feature-scale simulations provide the step coverage as the function of trench dimensions based on tracing of molecules within the trench with chemical reactions at the sidewalls and bottom. It is demonstrated for the blanket wafers, that gas injection system with side inlets provides more uniform distribution of the precursor between the wafers and about 30% shorter precursor step duration compared to vertical injection. The suggested ways to re-design the side inlets system demonstrate that the number of wafers in the batch can be increased up to 50 with reasonable duration of the deposition and purging steps. Increasing the trench aspect ratio from 20 up to 100 on the patterned wafers results in a good conformality over the entire wafer area achieved with the several times longer precursor pulses. Integration of the models at reactor and trench scales helps to identify the critical effects of reactor geometry and recipe in order to improve batch ALD process performance on the patterned wafers with high aspect ratio trenches.

View Supplemental Document (pdf)
AM-MoP-10 Optical Monitoring of MoCl5 and MoOCl4 Vapor Delivery for Atomic Layer Deposition Applications
Berc Kalanyan, James Maslar (National Institute of Standards and Technology (NIST))

Molybdenum films deposited using fluorine-free precursors are being explored as a tungsten replacement in logic and memory process flows. Atomic layer deposition (ALD) is well-suited to this task due to its low thermal budget and high conformality, enabling its integration into back-end processing. Hydrogen reduction of molybdenum pentachloride (MoCl5) is one of several processes that deposit metallic Mo films1. While MoCl5 is attractive for deposition applications, it is a solid under typical delivery conditions and readily forms volatile oxychlorides upon exposure to trace moisture. Low-volatility solids are challenging for use in high-volume manufacturing because their delivery characteristics can depend on vessel design, operating conditions, and material packaging. Further, the potential existence of oxychlorides in the vapor phase is problematic as those species are also precursors for film deposition1,2. An additional complexity is the tendency of MoCl5 to etch Mo and Mo-containing films3. Therefore, a detailed understanding of MoCl5 delivery and subsequent deposition behavior requires in situ measurement of the partial pressures of MoCl5 and reactive impurities such as MoOCl4.

To address this need, we have developed direct absorption measurements in the visible and UV wavelengths to monitor the partial pressures and delivery rates of MoCl5 and MoOCl4 under typical ALD conditions. Using spectral signatures4 of MoCl5 and MoOCl4, we designed high-speed in-line gas analyzers to simultaneously detect both species during flow. The gas analyzer consisted of a broadband source, filters for wavelength selection, and avalanche photodiodes sampled at 1 kHz. Calculations using the spectral response of the analyzers show detection limits of 0.35 Pa and 0.90 Pa for MoCl5 and MoOCl4, respectively. We measured time-resolved absorption in a gas delivery line using UV-vis spectroscopy and the dual-channel non-dispersive gas analyzer. Both measurements showed consistent delivery profiles for MoCl5 in a flow-over vessel. We also analyzed changes in time-resolved spectra within individual injections and between multiple ALD cycles as an indicator of compositional changes in the vessel headspace. Using results from these measurements, we will present on the sensitivity and the selectivity of the analyzer to MoCl5 and MoOCl4. We will further discuss changes in the vessel output during startup and prolonged cycling.

1Lee, et. al., in AVS 20th Int. Conf. At. Layer Depos. (Virtual, 2020).

2Baum, et. al., US11107675B2 (31 Aug. 2021).

3Juppo, et. al. J. Vac. Sci. Technol. A 16(5), 2845–2850 (1998).

4Kalanyan, et. al., J. Phys. Chem. A 128(1), 118–128 (2023).

View Supplemental Document (pdf)
AM-MoP-11 Visualizing Precursor Flow During ALD Processes
James Maslar, Berc Kalanyan (National Institute of Standards and Technology (NIST))
The characteristics of precursor transport from a source vessel to a deposition surface depend on many factors such as gas manifold and chamber design as well as specific process conditions. Numerous techniques have been developed to monitor precursor concentrations in ALD processes, including optical and mass spectrometric techniques. The majority of techniques utilized with ALD processes offer high spectral information or high sampling rates but provide little spatial information. The lack of spatial resolution can be a limitation in many applications, particularly those involving flow in complex assemblies or with fast gas switching where it is difficult to predict gas flow patterns. Hence, flow visualization techniques find utility in a number of applications, such as in the evaluation of gas manifold and chamber design, the development of deposition recipes, and the identification of precursor flow excursions. In addition, spatially-resolved data can be used to validate reactor scale models, e.g., digital twins. In this work, precursor flow during ALD was visualized using optical absorption. Optical absorption is straight-forward to implement, making it a convenient technique for imaging measurements. However, maximizing the utility of the measurement sometimes requires interpreting the path length-integrated absorption data within the framework of three dimensional gas flow, which may require complementary flow simulations. MoCl5 flow was imaged in a research grade ALD chamber (42 mm field of view) and a flow cell (12 mm field of view). These measurements were performed in the visible spectral region using an off-the-shelf 4096 x 3000 pixel CMOS camera operating at approximately 24 frames per second. Tetrakisdimethylamido titanium and isopropanol flow was imaged in a research grade ALD chamber. These measurements were performed in the infrared spectral region using an off-the-shelf 320 x 240 pixel microbolometer camera operating at approximately 30 frames per second. Different operational modes of the cameras will be illustrated and the sensitivity and detection limits will be discussed. View Supplemental Document (pdf)
AM-MoP-12 Thermal Behaviour of Solid ALD Precursors: Comparison of Visual Imaging Tool and Thermogravimetric Analysis
Jani Viljakka (Volatec Oy); Timo Hatanpää (University of Helsinki, Finland); Mohamed Lashdaf (Volatec Oy); Mikko Ritala (University of Helsinki, Finland); Marja Tiitta (Volatec Oy); Ola Nilsen (University of Oslo)

Precursors are the pinnacle of atomic layer deposition (ALD) growth. Whether being solid or liquid, they need to have sufficient volatility and be stable during storage and delivery. Such applicability are typically characterised by thermoanalytical methods, like thermogravimetry (TGA). It is a well-known and highly applicable technique but also has some its shortcomings.

In this work, we present our recent work on the characterization of solid ALD precursors using a visual imaging method named Optical Diagnosis Instrument (ODIN). It works under simulated ALD conditions of precursor delivery, and we compared it with the TGA method. Visual imaging and thermogravimetric methods give information about the behaviour of solid compounds under the vaporization conditions complementary to each other.

A range of solid compounds were chosen to represent various classes of compounds used in ALD (β-diketonate, amides, cyclopentadienyls, alkoxides, organic acids). The compounds were sublimed in vacuum for purification before the analysis. The sampling for the analysis was made in air or in glove box depending on the sensitivity of the compound. Melting points were measured with the Stuart SMP20 instrument. Thermogravimetric analyses (TGA) were performed with Mettler Toledo TGA/DSC 3+ instrument in 10 mbar vacuum. ODIN-instrument based on the development made in University of Oslo and Baldur Coatings was applied to follow and quantify visual changes during heating in vacuum.

Both TGA and ODIN measurements gave valuable information of the suitability of the compounds for ALD. TGA provide information of the temperatures of different phenomena and the quantitative weight losses. The results of ODIN give insights of vaporization and visual understanding if other phenomena like oligomerization or decomposition occur for the compound during the heating. These methods save a lot of time in the screening phase of the compounds. They are also helpful in the evaluation of the success of the synthesis.

Acknowledgement. Authors express the gratitude for the funding of European Union. This work has received funding from the Horizon Europe programme under the Grant Agreement 101135946. Views and opinions expressed are however those of the author(s) only and do not necessarily reflect those of the European Union or European Commission. Neither the European Union nor the European Commission can be held responsible for them.

AM-MoP-13 Thin film Conformality Evaluation on a Wafer Level in Thermal ALD Reactor using LHAR Test Structures
Oili Ylivaara (Tietotie 3); Feng Gao, Jussi Kinnunen, Mikko Utriainen (Chipmetrics)

Atomic layer deposition (ALD) is a thin film growth technique that enables precise control of film thickness and conformality over complex three-dimensional structures. Wafer level conformality analysis quantifies the uniformity of film thickness and growth conformality across a wafer on complex topography. Wafer level conformality is influenced by numerous factors, such as the reactor design, the process parameters, and the substrate topography for example. In this work, we use the PillarHall wafer, which consists of arrays of lateral high aspect ratio (LHAR) test structures, to analyze conformality on a wafer level in a thermal ALD reactor using ALD Al2O3 film as a model material. The PillarHall LHAR test structure allows direct and accurate measurement of film thickness inside the LHAR channels using optical analysis methods, including the most advanced Imaging Spectropic Ellipsometer (ISE). We also measure the gap height and membrane bending of the LHAR structure and use the exact dimensions to correct the wafer level data. We compare the wafer level results to a single chip (as well as 7-chips on pocket wafer) conformality. We show that the PillarHall LHAR test structure provides a reliable and comprehensive way to analyze the thin film conformality even at a wafer level and is a way to quantify and improve thin film conformality.

Session Abstract Book
(331KB, Jun 24, 2024)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule