ALD2023 Session PS-MoM: Plenary Session

Monday, July 24, 2023 8:45 AM in Grand Ballroom A-G

Monday Morning

Session Abstract Book
(250KB, Jul 29, 2023)
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD2023 Schedule

Start Invited? Item
8:45 AM PS-MoM-1 ALD Opening Remarks
Seán Barry (Carleton University, Canada); Scott Clendenning (Intel)
9:00 AM PS-MoM-2 ALD Plenary Lecture: Decades of ALD Research – Targets Upside Down
Markku Leskela (University Helsinki)

ALD (Molecular Layering ML, Atomic Layer Epitaxy, ALE) research has been carried out for decades. The basic principle has been to deposit homogeneous films in a controlled way all around the substrate. The sequential and saturative growth enables the growth of conformal thin films on structured surfaces. The unique properties of ALD have enabled the fast growth of this technology during the last 15 years especially in microelectronics.

Since the early days, many things have changed in ALD research and applications. The main target is not always the deposition of homogeneous, pinhole-free films allover on the substrate. Instead, the aim can be area selective deposition1, deposition of nanoparticles2 or reverse ALD, i.e. Atomic Layer Etching (ALE)3. The thin film material selection has also expanded from oxides, chalocogenides and nitrides to metals, polymers and inorganic-organic hybrid materials (Molecular Layer Deposition, MLD)4.

Metal films show often delayed nucleation on oxide surfaces. In platinum group metal case the reason is the oxidative mechanism which utilizes the active oxygen on the metal surface in removal of the organic ligands. This obvious disadvantage in film growth process is successfully applied in fabrication of metal nanoparticle catalysts.

Area selective deposition is coming extremely important when the feature sizes in microelectronics are shrinking. Patterned surfaces contain chemically different areas and area selective ALD (ASD) utilizes these differences to get growth only on the desired areas. Several approaches have been developed for ASD, such as activation of the growth areas, the use of self-assembled monolayers (SAM) or small molecule inhibitors (SMI) to block the growth on unwanted areas. The most attractive ASD process is the inherent selective growth. In that process the precursor molecule selectively adsorbs on the desired areas leaving the other areas bare.

We have recently studied superconformality that is beyond ALD.5 It is based on capillary/curvature selective vapor deposition, which is able to coat or fill surfaces that have been previously hardest-to-reach in all vapor deposition techniques. It utilizes capillary condensation and targets primarily cracks, narrow interstices, ledges, and even ink-bottle-shaped nanopores.

1.G.N. Parsons, R.D. Clark, Chem. Mater. 32 (2020) 4920-4953.

2. J.L. Lu, J.W. Elam, P.C. Stair, Surf. Sci. Repts. 71 (2016) 410-472.

3. S.M. George. Acc. Chem. Res. 53 (2020) 1151-1160.

4. https://www.atomiclimits.com/alddatabase/

5. V. Lovikka, Adv. Mater. Interfaces 9 (2022) 2101314.

9:45 AM Break & Exhibits
10:15 AM PS-MoM-7 ALE Opening Remarks

10:30 AM PS-MoM-8 ALE Plenary Lecture: The Need for Atomic Layer Etching in the Angstrom Era
Tristan Tronic (Intel Corporation)

Atomic layer etching (ALE) processes have been sought by semiconductor manufacturers in order to keep pace with the demands of Moore’s Law scaling, because of their promise of atomic-scale control of etching and the possibility of extreme material selectivity. Progress has been made rapidly over the last decade, and pathways now exist for selective etching a range of dielectrics, semiconductors, and conductors at the nanometer scale and below.

In this talk, we will discuss the role that ALE can play in enabling the next era semiconductor scaling. As the semiconductor industry now enters the ‘Angstrom Era’ of scaling, shrinking device dimensions and increasingly vertical device architectures drive a need for ALE processes that has never been greater. Continued scaling of transistor gate pitch will drive a shift to ‘Gate-All-Around’ or ‘RibbonFET’ structures, in which semiconductor nanosheet channels are completely surrounded by gate dielectric and metal contact. RibbonFET structures open the door to further density scaling by stacking monolithically N-MOS and P-MOS vertically.[1] Fabricating such structures will require etch processes with extreme selectivity and nanometer-scale control at increasingly high aspect ratios, strengths of ALE processes.

The next era of scaling will require more than new transistor structures, it will also require new materials to continue to drive performance. These new materials will in term require new etch innovation to enable their integration, and some of these possible materials and opportunities for ALE to meet these requires will be explored in this talk. Transition metal dichalcogenides (TMDs) have been proposed as a path toward continued channel thickness scaling beyond the limits of Si.[2] Controlled etching in the presence of ‘2D’ channel materials of <1 nm thickness will require etch process controlled that ALE is ideally suited to achieve.

[1] S. Datta et al. “Toward attojoule switching energy in logic transistors” Science 378, 2022, pp. 733-740

[2] C. J. Dorow et al. “Gate length scaling beyond Si: Mono-layer 2D Channel FETs Robust to Short Channel Effects” 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022, pp. 7.5.1-7.5.4

11:15 AM PS-MoM-11 ALD 2023 Innovator Awardee Talk: Not Like an Apple - Progress in Understanding Atomic Level Processing at the Atomic Scale
Simon Elliott (Schrödinger)
In this talk, we will dip into stories about how simulations have advanced our understanding of the growth mechanisms of ALD, and lately of ALE too. We will also sketch out work on nucleation onto substrates, metal deposition, plasma-surface reactions and precursor design. Building on this, simulations are now showing how to control continuous versus self-limiting processes, and deposition versus etch.
We will emphasize how collaboration has been the main driver of this work, always checking and challenging the relevance of the model results for actual experiments. The wider uptake of atomic-scale modelling as a research tool is confirming its usefulness and impact.
As long as computer power continues to snowball, the future for modelling process chemistry is bright. We are looking forward to finding improved precursors through the semi-autonomous exploration of chemical space. Machine-learning techniques are opening up areas that were out-of-bounds to simulations based on physical laws, such as prediction of complex properties and increased accuracy in interatomic potentials for large systems
11:45 AM PS-MoM-13 Sponsor Preview

Session Abstract Book
(250KB, Jul 29, 2023)
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD2023 Schedule