ALD2023 Session ALE-SuP: Atomic Layer Etching Poster Session

Sunday, July 23, 2023 6:00 PM in Evergreen Ballroom & Foyer

Sunday Evening

Session Abstract Book
(303KB, Jul 29, 2023)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2023 Schedule

ALE-SuP-1 Chemical Approaches to Atomically Controlled Etching of Tertiary Materials and van der Waals Solids
Marissa Pina, Matt Whalen, John Xiao, Andrew Teplyakov (University of Delaware)

CrPS4 is a 2D van der Waals material with layer-dependent ferromagnetic behavior. While monolayer CrPS4 has a ferromagnetic out-of-plane spin orientation, alternating antiferromagnetic/ferromagnetic behavior was demonstrated for an even vs. odd number of layers. This interesting set of properties makes the material a potentially outstanding candidate for spintronic devices; however, obtaining a specific number of layers on any support surface is currently impossible. Mechanical exfoliation methods can reduce the number of layers to a certain extent, but more controlled methods will be needed to further reduce the thickness of the crystal to the monolayer thickness. Thus, atomically precise methods of etching would be extremely desirable for CrPS4.

The problem with designing atomic layer etching (ALE) approaches for materials containing two or more elements is that the overall chemistry should be equally efficient in removing all the elements simultaneously. We have previously demonstrated that a very efficient method can be applied to etch a tertiary material CoFeB alloy with atomic precision, and it would be expected that for a layered material, such as CrPS4, the van der Waals nature of interlayer interaction would make it easier to design a chemical method to remove the material layer-by-layer. In this work, CrPS4 flakes were exfoliated onto a SiO2 substrate from a single crystal. Atomic force microscopy was used to determine that the deposited flakes were up to 100 nm thick and several microns wide, with a wide size distribution of shapes and thicknesses. We first used XPS to evaluate the susceptibility of this material to oxidation and then, using an ultra-high vacuum chamber, we heated the sample and exposed it to a specific exposure of acetylacetone in what we define as a cycle. Repeating atomic force microscopy on the flakes, we found an average etch rate of 1.6 nm/cycle. Although the exact etching conditions have to be optimized and chemistry of the etching process has to be determined, these initial experiments promise a successful method for ALE of this material that can also possibly be applied to other van der Waals crystals.

ALE-SuP-2 Electron-Assisted Silicon Etching in an Inductively Coupled CF4 Plasma via Low-Energy Electron Beam
Jiwon Jung, Chang-Min Lim, Chin-Wook Chung (Hanyang University)

Low-energy electron-assisted silicon etching is conducted using an inductively coupled CF4 plasma at a pressure of 4 mTorr. During silicon etching, a low-energy electron beam is generated and controlled by varying the electron acceleration voltage (0-60 V) on grid to assist silicon etching. Silicon etch rate is increased with increasing acceleration voltage, this is because electron beam energy is also increased. By using low-energy electron beam, low-damage etching can be achieved because mass of electron is smaller than that of ion. To observe the damage variation of silicon before and after the low-energy electron-assisted etching process, XPS measurement is conducted.

ALE-SuP-3 Damage-Free Graphene Etching by Ultra-Low Electron Temperature Plasma
Junyoung Park, Jiwon Jung, Min-Seok Kim, Chang-Min Lim, Beomjun Seo, Chin-Wook Chung (Hanyang University, Korea)

The effect of ultra-low electron temperature(ULET, Te < 0.2 eV) on multi-layer graphene etching is investigated. During the etching process using plasma, problems such as substrate damage due to high ion bombardment energy occur, which have a fatal effect on process yield and reliability. In particular, high-strength and high-performance semiconductors are required in recent years, achieving precise etching without damage at the atomic scale is essential. To solve this problem, ULET plasma is generated whose electron temperature is about 20 times lower than that of the conventional inductively coupled plasma(ICP) (Te ~ 4 eV), and damage-free etching using the ULET plasma is studied. Plasma-induced damage on the wafer is mainly caused by ion bombardment, and the ion bombardment energy is proportional to the sheath voltage. Since the sheath voltage is proportional to the electron temperature, the ion bombardment energy can be minimized by generating ULET plasma. To confirm the damage-free etching effect of ULET plasma, multi-layer graphene is exposed to conventional ICP and ULET plasma, respectively. In the case of graphene exposed to conventional ICP, the crystal structure of graphene is damaged by plasma. On the other hand, when exposed to ULET plasma at the same electron density and time as the conventional ICP, damage does not occur. This confirms that plasma damage due to ion bombardment may be removed using ULET plasma. The removal of the graphene layer was verified by Raman spectroscopy, and Te was obtained by measuring the electron energy probability function (EEPF).

ALE-SuP-4 Anisotropic Atomic Layer Etching of Molybdenum by Formation of Chloride/Oxychloride
Yun Jong Jang, Doo San Kim, Hae In Kwon, Hong Seong Gil, Gyoung Chan Kim, Dae Whan Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

As the degree of integration of semiconductor devices increases, the line width between patterns of interconnects continues to decrease. In order to solve this challenge, Cu having low resistivity is currently used as an interconnect material with a barrier material in a damascene structure. But, Cu shows a rapid increase of resistivity in a critical dimension (CD) of less than 10 nm due to long mean free path in addition to a limitation in scaling down due to the requirement of a barrier material. Therefore, need for a new material such as Mo, Co, Ru, etc. to replace Cu has been increased to solve this problem. In this study, atomic layer etching (ALE) of Mo has been carried out by using O2 and Cl2 as adsorption gases and Ar+ ion beam for desorption. By using inductively coupled plasma (ICP)-type ion beam for desorption step, it was possible to precisely control the ion energy during ALE. In the adsorption step, the surface of Mo was modified into MoClx and MoOxCly using O2 and Cl2. In the desorption step, the modified Mo surface was removed using an Ar+ ion beam. After the process, physical and chemical damage on the surface was analyzed using X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM), etc.

ALE-SuP-5 New Oxidants for Cu ALE
Persi Panariti, Adam Hock (Illinois Institute of Technology)

Atomic Layer Etching of copper is an important challenge when it comes to scaling down electronic devices to sub-10 nm dimensions. ALE of copper is of considerable interest, because copper is widely used an interconnect material for integrated circuits, due to its excellent electrical conductivity, good electromigration resistance and low cost.

ALE of metallic surfaces typically proceeds in two steps, the first being surface activation, followed by the introduction of an organic ligand to yield a volatile metal complex. ­­­The activation step (oxidation) is especially important for enabling ALE, because metallic Cu surfaces are chemically inert. Another important factor is the ability to control the oxidation strength and reactiondepth of the oxidizing agent. Several oxidants for metallic Cu surfaces have been reported in the literature, such as ozone, hydrogen peroxide, oxygen plasma etc. These oxidants are aggressive and have numerous issues, such as oxidizing up to several nm of Cu and increasing surface roughness, especially at elevated temperatures. These are undesirable outcomes for ALE, because the oxidized copper surface should remain as uniform as possible after oxidation, so that the etch step yields a smooth and conformal Cu surface. Thickness of greater than a few nm can be incompatible with scaling. Therefore, there is a need for more tunable oxidants, that allow for better control of the oxidation strength and depth of Cu thin films. We have investigated several oxygen atom transfer reagents that formally transfer an oxygen atom to a Cu surface. The oxidation strength of these reagents was probed by in-situ X-Ray Absorption Spectroscopy (XAS) on Cu nanoparticles, as well as in real ALE conditions, where the ALE reactions were studied by in-situ QCM.

ALE-SuP-6 Atomic Layer Etch Development of Noble Metals Cu and Pt for Mram Technologies
Omar Melton, Robert Opila (University of Delaware)

Magnetoresistive random-access-memory (MRAM) is a leading option for non-volatile digital data storage. Due to the combination of the broad range of materials in the device, improving the fabrication methods is challenging. Currently, MRAM technologies mainly rely on epitaxial, atomic layer deposition (ALD), methods to generate the thin layers necessary for ideal performance. Atomic layer etching (ALE), as a time reversed ALD method, has potential for accurately etching nearly all materials, particularly those that cannot be deposited with precision. Herein, we propose the etching of noble transition metals, copper (Cu) and platinum (Pt), and etch stop testing of the tunneling barrier (MgO). The etch is conducted using a thermal approach, rather than commonly used plasma methods, where the film is etched by sequential dosing with to two different chemical moieties, chlorine and acetylacetone (acac). Thus, permitting kinetic factors to overcome thermodynamic limitations, thereby developing a controlled etch driving towards one atomic layer at a time. Results demonstrate that Cu is etched under comparatively mild conditions, whereas Pt requires more aggressive temperatures and pressures to facilitate the etch.Furthermore, MgO exhibited resistance to etching upon exposure to these conditions.

ALE-SuP-7 Layer-by-Layer Etching of 2D Palladium Diselenide
Ji Eun Kang, You Jin Ji, Seung Yup Choi, Geun Young Yeom (Sungkyunkwan University (SKKU))
Recently, there has been a widespread interest in developing high-performance broad band photodetector of 2D materials for sensing, imaging, and spectroscopy. Among them, Palladium diselenide (PdSe2) has emerged as a promising candidate for the future device application due to its large tunable band gap (from 0 eV to 1.3 eV), high electron mobility, and outstanding environmental stability. To obtain mono to multi-layer of PdSe2, various methods such as exfoliation, chemical vapor deposition, and etching can be used. However, in the case of exfoliating or deposition, it is difficult to precisely control the layer, and, in the case of reactive ion etching, it may cause unwanted structural damage in PdSe2 because PdSe2 has a “puckered” structure. In addition, its interlayer coupling is strong, so it can be easily transformed from PdSe2 semiconducting phase to PdSe2-x metallic phase by ion irradiation. In this study, PdSe2 was removed layer-by-layer without damage by applying cyclic isotropic etching through oxidation and organic vapor treatment. And it was confirmed that the etching was performed precisely one layer per one cycle without damaging the PdSe2 puckered structure.
ALE-SuP-8 Cyclic Dry Etch Process of SiO2 using H/F radicals and Methanol Vapor
HaeIn Kwon, HongSeong Gil, DooSan Kim, YunJong Jang, DeaWhan Kim, GyoungChan Kim, DongWoo Kim, GeunYoung Yeom (Sungkyunkwan University)

In the cleaning of deep contact holes, wet etching process is generally used, but there are issues such as inability to etch the bottom of the deep contact holes in nano-size patterns. Therefore, new dry cleaning process is necessary to replace the existing wet etching process for next-generation semiconductor devices. Previously, as dry cleaning processes (or as isotropic etching processes) of SiO2 for deep contact holes, HF/NH3 vapor process or the NF3/NH3 plasma process has been investigated. However, ammonium salt formed in the process using NH3 can form solid powders and it may form particles in the process chamber. Therefore, in this study, H/F radicals and Methanol vapor were used for the isotropic cyclic etching of SiO2 to obtain high selectivity of SiO2 over Si3N4 and Si. HF was formed using a NF3/H2 remote plasma and, to remove the F radicals, methanol vapors were injected using shower ring located at the outside the plasma discharge region. Under the optimized conditions, the etching depth per cycle of SiO2 was about ~13 nm/cycle and the selectivity with Si3N4 and Si were over 50 and 20 respectively. Surface chemistry and roughness were investigated at each process step using XPS (X-ray photoelectron spectroscopy), FT-IR (Fourier-Transform Infrared Spectroscopy), and AFM (Atomic Force Microscope).

ALE-SuP-9 Quantum Chemistry Modeling of Plasmaless Anisotropic Etching of Silicon by F2 Molecule
Yuri Barsukov, Omesh Dwivedi, Sierra Jubin, Igor Kaganovich (Princeton University Plasma Physics Lab)
Anisotropic etching is often used in the semiconductor industry. One of the possible applications is silicon nano-scale texturing for black silicon production. Plasmaless etching can be used for surface texturing if the etch rate depends on the crystal surface orientation and, as a result, the surface is removed faster in one crystal surface direction compared to another. It was experimentally observed that F2 molecules preferentially etch silicon on (100) and (110) faces but very little on (111) at room temperature. We performed quantum chemistry modeling of this process by studying the reaction of F2 dissociative adsorption on fluorinated Si(100), Si(110), and Si(111) surfaces. The reaction probabilities were calculated using DFT (density functional theory) in combination with transition state theory. We established that the energy barrier of F2 dissociation on the Si(111) surface is significantly higher than on Si(100) and Si(110). The reason for high reactivity on Si(100) and Si(110) is that all Si atoms on fluorinated (100) and (110) oriented surfaces are bonded with fluorine atoms. The passivating F atoms attract electrons from Si atoms and, as a result, all Si atoms are positively charged. At the same time, half of the atoms on the fluorinated Si(111) surface are negatively charged, because they have no bonds with the fluorine atoms. The negatively-charged Si atoms on Si(111) create an additional repulsive force with the partly negatively-charged F2 molecule during the dissociation and increase the reaction barrier. Assuming that F2 dissociation is the rate-determining step of the etching process, we compared the calculated rate of F2 dissociation on Si(100) and measured the etch rate of Si(100) by F2. The calculated rate of dissociation matches experimental etch rate well.
ALE-SuP-10 Atomic Layer Etching of Mo with Surface Fluorination and Ion Bombardment
Yongjae Kim, Hojin Kang, Heeju Ha, Heeyeop Chae (Sungkyunkwan University (SKKU))

Resistance of Cu significantly increases in the line width of interconnect below 10 nm due to the electron scattering in the grain boundary and side wall, and the resistance can be reduced by replacing Cu with alternative metals such as Co, Mo, and Ru, which have lower electron mean free path compared to the Cu. [1, 2] Among them, Mo is strong against the electromigration than the Co and Ru due to the highest melting point and cohesive energy. Interconnect metal line based on Mo can be fabricated with reactive ion etching (RIE) due to low boiling point of molybdenum fluoride and ruthenium fluoride compared to the copper fluoride. However, RIE is facing challenges in making nanometer scale patterns in terms of precise etch rate control and surface roughness. Atomic layer etching (ALE) can remove layers with atomic scale precision, low surface roughness, and excellent uniformity compared to the RIE.

In this work, ALE process was developed for Mo in an inductively coupled plasma (ICP) reactor. The Mo surface was fluorinated with C4F8 or CHF3 plasmas, and fluorinated surface was etched by ion bombardment using Ar plasma. The C4F8 plasma generates fluorine-rich fluorocarbon layer on the Mo surface compared to the CHF3 plasma. Etch per cycle (EPC) of Mo was investigated with dependence of ion energy and etching time. ALE window, or constant EPC was observed in the energy range of 100 ~ 225 V for Mo. The EPC of Mo was determined to be 2.8 nm/cycle for C4F8 plasma and 0.8 nm/cycle for CHF3 plasma in the ALE window region. Etch residues and surface roughness were compared with radical etching, RIE, and ALE. Lowest etch residues and surface roughness were confirmed with ALE process compared to the radical etching and RIE.

References

[1] H.-W. Kim, Electronics 11, 2914 (2022)

[2] S. Decoster, E. Camerotto, G. Murdoch, S. Kundu, Q. T. Le, Z. TÅ‘kei, G. Jurczak and F. Lazzarino, J. Vac. Sci. Technol. B 40, 032802 (2022)

Keywords: Plasma atomic layer etching, Molybdenum, ALE window, Etch residues, Surface roughness

ALE-SuP-11 Thermal Atomic Layer Etching of Palladium with Chlorination and Ligand Volatilization
Hojin Kang (School of Chemical Engineering, Sungkyunkwan University (SKKU)); Yongjae Kim (SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University (SKKU)); Ahyeon Cho (Department of Chemical and Biomolecular Engineering Korea Advanced Institute of Science and Technology (KAIST)); Hee-Tae Jung (Department of Chemical and Biomolecular Engineering Korea Advanced Institute of Science and Technology (KAIST) Daejeon, 34141, Republic of Korea3); Sooyeon Cho, Heeyeop Chae (School of Chemical Engineering, Sungkyunkwan University (SKKU))
Palladium (Pd) hydrogen sensors operate by the change in electrical resistance according to volume expansion [1]. Thinner Pd leads to shorter paths for hydrogen gas molecules, resulting in lower hydrogen concentration and increased sensitivity of the sensor for volume expansion. Conventional wet and dry etch processes face challenges in achieving precise thickness control and uniformity [2]. Atomic layer etching (ALE) processes can remove sub-nanometer layers with precision [3]. In this work, thermal ALE process for Pd was developed with surface chlorination with Cl2 plasma and ligand volatilization with NH3 gas in a capacitively coupled plasma (CCP) reactor. In the chlorination step, the Pd surface was converted into PdCl2. The thickness of PdCl2 was increased from 1.0 nm to 2.9 nm as the process temperature increased from 25 to 300 °C, and that of PdCl2 was saturated to 1.6 nm after 30 seconds of Cl2 plasma time at a process temperature of 150 °C. In the ligand volatilization step, the PdCl2 layer was removed with ligand volatilization using NH3 gas at the temperatures above 150 °C. The etch per cycle (EPC) of Pd was in the range of 0.8~1.6 nm/cycle with increasing NH3 gas injection time, and the EPC was saturated to 1.6nm after 30 seconds of NH3 injection time. The surface roughness increased from 0.3 nm to 0.4 nm after 20 ALE cycle. View Supplemental Document (pdf)
ALE-SuP-12 Plasma Enhanced Atomic Layer Etching of Zirconium Oxide using Plasma Fluorination and Ligand Exchange with TiCl4
Hyeongwu Lee, Yongjae Kim, Heeju Ha, Heeyeop Chae (Sungkyunkwan University (SKKU))

Dynamic random access memory (DRAM) capacitor consists of electrodes and dielectric materials, and the dielectric thickness has been continuously reduced to increase capacitance. However, reducing the dielectric dielectric thickness also increases the leakage current. The leakage current can be decreased by applying thin crystalline ZrO2 film, which has a high dielectric constant of 39. A thin crystalline ZrO2 film can be produced by depositing a thick crystalline film and then using atomic layer etching (ALE) to reduce the film thickness. Thermal ALE can precisely control the etch depth at the atomic scale and remove materials in every direction. In this work, a thermal ALE process was developed for ZrO2 with two steps of plasma fluorination and ligand-exchange with titanium chloride(TiCl4). In the fluorination step, ZrO2 surface was fluorinated with fluorine-containing plasmas to form zirconium oxyfluoride. The formation of Zr-O-F bond was confirmed by X-ray photoelectron spectroscopy analysis. In the ligand exchange step, the fluorinated layer was removed with TiCl4. The etch per cycle of ZrO2 is saturated at 1.3 Å/cycle after 60 seconds of TiCl4 exposure time. Post plasma treatment was developed to remove fluorocarbon residues on the surface with O2 and NH3 plasmas after ALE process. The root mean square (RMS) roughness of ZrO2 decreased from 0.81 nm after 30cycles of ALE to 0.64 nm after post plasma treatment.

View Supplemental Document (pdf)
Session Abstract Book
(303KB, Jul 29, 2023)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2023 Schedule