ALD2023 Session ALE1-TuA: Plasma and Energy-Enhanced ALE

Tuesday, July 25, 2023 1:30 PM in Grand Ballroom A-C

Tuesday Afternoon

Session Abstract Book
(281KB, Jul 29, 2023)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2023 Schedule

Start Invited? Item
1:30 PM ALE1-TuA-1 In-Situ Analysis of Surface Reactions on Thin Films in Plasma-Assisted Thermal-Cyclic Atomic Layer Etching
Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi (Hitachi, Ltd.); Masaru Izawa (Hitachi High-Tech Corp.); Kenji Ishikawa, Masaru Hori (Nagoya University)
Isotropic atomic layer etching (ALE) is a conformal etching technique based on the sequential reactions of surface modification and desorption of the modified surface. Isotropic ALE may play a significant role in the manufacturing of next-generation 3D devices such as lateral gate-all-around (LGAA) FETs. Significant efforts are currently underway to develop and commercialize isotropic ALE for various thin-film materials utilized in semiconductor device manufacturing. The authors have developed a new approach for isotropic ALE called plasma-assisted thermal-cyclic ALE, which is based on the cycles of plasma exposure for surface modification and infrared heating for fast desorption of the modified surface. This approach offers a high degree of controllability in material selectivity because it uses two different temperatures for the modification step and desorption step. For example, the selectivity of W and TiN can be changed from highly selective to nonselective by tuning the infrared heating time, since heating at low temperature results in desorption of only the modified surface of W while heating at high temperature results in the desorption of the modified layers of both W and TiN. The two key reactions of plasma-assisted thermal-cyclic ALE are the formation and desorption of ammonium salt-based modified layers on nitride films. Conformal selective ALE of Si3N4 and TiN has been demonstrated by utilizing the ammonium salt-based reactions with hydrofluorocarbon-based plasmas. Highly selective ALE of nitride films over other films such as SiO2 and poly-Si can be obtained because ammonium salt-based modified layers form only on nitride films. Selective ALE of SiGe over Ge was also demonstrated by using nitrogen-containing hydrofluorocarbon-based plasmas. One possible mechanism of the ALE of SiGe is the formation of an ammonium salt-based modified layer on SiGe. A new 300-mm etching tool, dry chemical removal (DCR), that features an inductively coupled plasma (ICP) source and infrared lamps, has been developed to enable rapid thermal-cyclic reactions. Selective ALE of a variety of materials including Si3N4, SiO2, TiN, W, Co, and SiGe is possible using the DCR tool. This paper reviews the development of plasma-assisted thermal-cyclic ALE, places this development in the historical context, provides detailed information on surface reactions during ALE by in-situ x-ray photoelectron spectroscopy, clarifies the effects of surface oxides on surface reactions, and reports the latest results for atomic layer reactions on TaN.
2:00 PM ALE1-TuA-3 Isotropic Atomic Layer Etching Process for HfO2 Film
Jun Hyuck Kwon, Chang Hun Kim, Byung Chul Cho, Ju Hwan Park, Sang Joon Park, Jin Sung Chun (Semiconductor R&D Center, WONIK IPS Co., Ltd.)
The atomic layer etching (ALE) process is a sub-nanometer scale removal technique base on sequential, self-limiting surface reactions.1,2 In this study, we developed a cyclic isotropic plasma ALE process that involves fluorination with NF3 plasma and ligand exchange with dimethylaluminumchloride (DMAC) for hafnium oxide (HfO2). The HfO2 surface is converted to HfOFx by NF3 remote plasma in the fluorination step, and the fluorinated layer is removed by a ligand exchange reaction with DMAC in the removal step. The ALE process was analyzed by ellipsometry, atomic force microscopy, dynamic secondary ion mass spectrometry, transmission electron microscopy, x-ray diffraction. Etching was not observed at 200 °C and was increased from 1.41 Å/cycle to 2.22 Å/cycle as the process temperature increased from 250°C to 300°C. Isotropic removal of HfO2 thin films was observed on the trench patterns with an aspect ratio of >15. In addition, the ALE process decreased the surface roughness of the HfO2 thin films. View Supplemental Document (pdf)
2:15 PM ALE1-TuA-4 Thermal Atomic Layer Etching of CoO by an “Oxidation-Reduction” Mechanism Using Sequential Reactions of Ozone and Acetylacetone
Aziz Abdulagatov, Jonathan Partridge (University of Colorado at Boulder); Varun Sharma (ASM Microchemistry Ltd., Finland); Jessica Murdzek, Andrew Cavanagh, Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of CoO was demonstrated using sequential exposures of ozone (O3) and acetylacetone (CH3COCH2COCH3, Hacac). Hacac can form volatile Co(acac)2 complexes. Ozone was employed to remove carbon residue resulting from Hacac adsorption. In situ spectroscopic ellipsometry (SE) observed a linear decrease in CoO film thickness versus O3 and Hacac exposures with etch rates of 0.09 and 0.43 Å/cycle at 200 and 250 oC, respectively. The O3 and Hacac surface reactions were also found to be self-limiting.

The sequential O3 and Hacac exposures were also observed to cause changes in oxidation state and crystal structure. X-ray diffraction (XRD) analysis of the as-deposited CoO thin films showed mostly hexagonal crystal structure. After O3 exposures, XRD studies observed that hexagonal CoO was oxidized to cubic Co3O4. After ALE ending with Hacac exposure, XRD analysis also showed that the film was converted to cubic CoO. These XRD results indicate that Hacac can reduce Co3O4 back to CoO. In agreement with the thin film studies, XRD studies on Co3O4 powder observed the reduction of cubic Co3O4 to cubic CoO after Hacac exposures. X-ray photoelectron spectroscopy (XPS) analysis was also consistent with oxidation of CoO to Co3O4 by O3 and the reduction of Co3O4 back to CoO by Hacac.

Quadrupole mass spectrometry (QMS) measurements observed Co(acac)2 etch products during the Hacac exposures on CoO or Co3O4 powder. The observation of combustion products, such as CO2 and H2O, during Hacac exposures on Co3O4 powder was also consistent with the reduction of Co3O4 to CoO. The XRD, XPS and QMS results reveal alternating oxidation and reduction reactions during the O3 and Hacac exposures that define this CoO thermal ALE process. The sequential reactant exposures that result in volatile release of Co(acac)2 etch products occur concurrently with changes in the oxidation state and crystal structure of the underlying cobalt oxide.

2:30 PM ALE1-TuA-5 Surface Modification with Neutral Gas Cluster Beams and Its Application to Atomic Layer Etching
Noriaki Toyoda (University of Hyogo); Hideyuki Tanaka, Masaya Takeuchi (University of Hyogo, Japan)

We have reported that halogen-free ALE can be performed on various metal films at room temperature using gas cluster ion beams (GCIB) and organic acids. However, GCIB system requires large vacuum pumps and high voltage power supplies. Even worse, throughput of GCIBs is relatively low, which limits the variety of applications.

We investigated the possibility of ALE using neutral cluster beams. Neutral cluster beams have a simple apparatus configuration and can be expected to enhance surface reactions by directional energy beams (total energy of about several hundred eV). In this study, the surface condition after neutral cluster beam irradiation was evaluated by XPS, and the etching depth after pseudo-ALE was investigated.

First, Ni film surface was cleaned by 500 eV Ar+ irradiation, and then the substrate was irradiated with a neutral O2 cluster beam for 300 s at a substrate temperature of 150 oC. After irradiation, the results were evaluated by XPS. NiO peak appeared around 860 eV in the Ni film on the O2 cluster beam axis. On the other hand, NiO is not formed in the Ni film not irradiated by O2 cluster beam, and Ni oxidation does not occur with residual oxygen. This indicates that the Ni oxide film can be formed by neutral O2 cluster beam irradiation at a temperature where oxidation by the residual oxygen gas not occur.

Quasi-ALEs were performed by repeating O2 neutral cluster beam irradiation on Ni films at 150oC and subsequent oxide film removal with acetic acid. At the on-axis position of O2 neutral cluster beam, EPC was 1.6 nm. On the other hand, there was no measurable etching depth for the Ni film located at off-axis position. These results indicates that neutral cluster beam irradiation can be used for novel method for directional ALE.

2:45 PM ALE1-TuA-6 Development Plasma-Based Atomic Layer Etching of Zinc Oxide by Using Tetrafluoromethane Plasma and Dimethylaluminum Chloride
Chien-Wei Chen, Chan-Yuen Chang (Taiwan Instrument Research Institute, National Applied Research Laboratories); Yang-Yu Jhang (Taiwan Instrument Research Institute, National Applied Research Laboratories, Hsinchu, Taiwan)
In recent years, atomic layer etching (ALE) has been proposed to be introduced into advanced semiconductor processes, especially in gate-all-around (GAA) or nano-sheet FET structures, due to its precision control and high selective ratio etching characteristics. Therefore, ALE processes for various thin-film materials are being developed with great enthusiasm. In this study, we achieved plasma ALE verification of ZnO thin films using tetrafluoromethane (CF4) plasma and dimethylaluminum chloride (DMAC) as the precursors. The entire ALE reaction was performed on a 6" cluster ALE system (Fig.1) developed by Taiwan Instrument Research Institute (TIRI). We first deposited about 87 nm thick polycrystalline ZnO films with ALD at 200°C, and then performed a total of 50 fluorinations of the ZnO films with a remote CF4 plasma at a frequency of 10 s/cycle, and then performed in-situ XPS analysis. From Fig. 2a, it can be seen that the peak of Zn 2p 3/2 shifts from 1023.8 eV (Zn-O) to the stronger binding energy of 1024.4 eV (Zn-F) on the surface of the ZnO film after treated with CF4 plasma, and a significant F1s signal appears (Fig. 2b). After confirming that the CF4 plasma could achieve the ZnO surface fluorination modification, we added DMAC for the fluoride removal half-reaction. The complete process sequence was first to pass CF4 gas to stabilize the chamber pressure at 0.5 Torr, then 50 W RF power was applying to trigger CF4 plasma for 10 s, followed by passing LN2 to remove the residual CF4 gas, and then passing DMAC to remove the fluoride on the surface of ZnO film. DMAC is then passed through the chamber to purge the residual CF4 gas, followed by DMAC pulsing for 0.1 s and soaking for 1 s to remove the volatile fluoride formed on the ZnO surface, and finally LN2 is passed through to remove the residual DMAC to complete a complete ALE cycle. We also established the etching curve of ZnO ALE in Fig. 3, and it can be estimated that the etching per cycle (EPC) of ZnO ALE at 400°C is about 5.5 Å. In addition, we also observed in the subsequent TEM image analysis that the etched polycrystalline ZnO films in this ALE method will form porous ZnO films after a certain number of ALE cycles, which is presumed to be related to the crystal boundary of ZnO, and we will conduct more research on this characteristic in the future. View Supplemental Document (pdf)
3:00 PM ALE1-TuA-7 Resistive Capillary Array Calorimetry Method for ALD and ALE Processes
Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA)

Calorimetry is an essential analytical technique for determining the thermodynamics of chemical reactions. In-situ calorimetry during atomic layer deposition and etching (ALD/ALE) processes would be a valuable tool to probe the surface chemical reactions that yield self-terminating growth and removal of material at the atomic scale. Besides this, in-situ calorimetry would reveal the partitioning of chemical energy between the individual half-reactions that constitute the ALD or ALE cycle. Here we present a calorimetry strategy that utilizes the temperature-induced resistance changes in ALD thin films. Our calorimetry approach utilizes an ALD nanocomposite resistive thin film deposited conformally on the inner surfaces of microcapillary array substrates. These substrates are fabricated using borosilicate glass capillaries, 3D-printing, or through-substrate interposers. The ALD nanocomposite layer has a high resistivity and a well-defined thermal coefficient of resistance (TCR)‚ both of which can be fine-tuned by adjusting the nanocomposite layer composition via ALD process parameters.

In practice‚ the resistive capillary array (RCA) calorimeter is installed in the ALD system and electrically biased to produce a current that is recorded in real time. During the ALD/ALE surface reactions‚ heat exchanged with the coating produces transient current features due to the non-zero TCR of the nanocomposite resistive layer. These transient features are highly reproducible and can be used to calculate the reaction enthalpies of the individual surface reactions based on the TCR value and the thermo-physical properties of the capillary array substrates.

To demonstrate the RCA calorimetry method‚ we performed in-situ calorimetry measurements for a range of ALD processes including Al2O3‚ AlF3‚ AlxOyFz‚ ZnO‚ MgO‚ TiO2‚ and ZrO2 as well as ALE processes including Al2O3, TiO2, MoS2, and HfO2. We also studied the nucleation behavior when transitioning between ALD processes and from ALD to ALE processes. We find good agreement between reported enthalpy changes for ALD reactions and the values measured by in-situ RCA calorimetry. We believe that RCA calorimetry is a versatile in situ method to study the thermodynamics of ALD/ALE surface reactions and a convenient diagnostic for real-time ALD/ALE process monitoring in a manufacturing environment. Briefly, we will also discuss the challenges and limitations of RCA calorimetry method.

View Supplemental Document (pdf)
3:30 PM Break & Exhibits
Session Abstract Book
(281KB, Jul 29, 2023)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2023 Schedule