ALD2023 Session AS-TuP: Area Selective ALD Poster Session

Tuesday, July 25, 2023 5:45 PM in Evergreen Ballroom & Foyer

Tuesday Evening

Session Abstract Book
(333KB, Jul 29, 2023)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD2023 Schedule

AS-TuP-1 iCVD Polymer as Inhibiting Layer for the Area-Selective ALD of Transparent Conducting Oxide Thin Films
Raphael Feougier, Chloe Guerin, Vincent Jousseaume (Univ. Grenoble Alpes, CEA, LETI)

Transparent semiconductor oxides and transparent conducting oxides (TCO) are of critical importance for the development of thin-film transistors. TCO are also used as transparent electrodes for optoelectronic devices and microdisplays. In order to facilitate the integration of TCO that are difficult to pattern with standard lithography and etching processes, area selective deposition (ASD) is investigated. One approach consists in using self-assembled monolayers or polymeric films that can act as ALD inhibiting layers and prevent deposition in certain areas. For the introduction of this process in the microelectronic industry, one of the challenges is to deposit a sufficiently dense and stable inhibiting layer, preferably by a vacuum-based process.

In this work, polymeric thin films deposited by initiated chemical vapor deposition (iCVD) were evaluated as potential inhibiting layers for the AS-ALD growth of transparent conducting oxides such as ZnO and SnO2. iCVD is a vacuum-based deposition technique that allows a very good control of polymer thickness (down to nanometer) with low roughness, on large substrates. TCO films were deposited on different polymer layers by low-temperature ALD, and the film growth and material structure were analyzed with several characterization techniques including ellipsometry, X-ray reflectometry, atomic force microscopy and time-of-flight secondary ion mass spectrometry. It is shown that poly(neopentyl methacrylate) (P(npMA)) is a very good inhibiting layer for ALD growth of zinc oxide deposited from diethylzinc and water. For example, 12 nm of P(npMA) allows to inhibit up to 100 nm of ZnO. This work shows that the same iCVD polymer can also inhibit ALD growth of SnO2 deposited from tetrakis(dimethylamino)tin and H2O2. The need for a minimum polymer thickness due to the possible diffusion of the precursor in the polymer layer is also highlighted. This solution appears to be a promising alternative to self-assembled monolayers and constitutes a new path toward the implementation of ASD for the fabrication of innovative thin-film transistors, for TCO-based channels as well as for electrodes.

AS-TuP-2 Direct Patterning of ZnO Deposition by Atomic-Layer Additive Manufacturing Using a Safe and Economical Precursor
Sonja Stefanovic, Negar Geshlaghi (Chemistry of Thin Film Materials, Friedrich-Alexander-Universität Erlangen-Nürnberg); David Zanders (Inorganic Materials Chemistry, Ruhr University Bochum); Ivan Kundrata (ATLANT 3D Nanosystems); Anjana Devi (Inorganic Materials Chemistry, Ruhr University Bochum); Julien Bachmann (Chemistry of Thin Film Materials, Friedrich-Alexander-Universität Erlangen-Nürnberg)

Area-selective deposition (ASD) enables bottom-up nanofabrication with individual atoms as building blocks, exploiting chemical control to deliver self-aligned fabrication without dedicated lithographic steps. However, the need for (lithographically) pre-patterned substrates, which is inherent to ASD, the generation of defects outside of the desired growth area resulting from imperfect selectivity, and the limited selection of substrate materials represent limitations to applications of ASD.

To overcome these limitations, we have invented atomic-layer additive manufacturing (ALAM): a direct-patterning atomic layer 3D-printing method based on a microfluidic nozzle design which deposits lines and arbitrary patterns of materials with atomic precision using the underlying chemical principles of ALD. With respect to methods reliant on lithography, ALAM increases flexibility, reduces manufacturing cost and time, while delivering atomic resolution (on the vertical axis). The deposition chemistry of ALAM is similar to conventional ALD and all the commercially available gaseous precursors which have been used in g-ALD are compatible with ALAM. Additionally, the minimized precursor consumption of ALAM allows us to explore new reactions with precursors which are typically less preferred in ALD because of their low vapor pressure or limited reactivity.

Here, we establish ZnO ALAM using as precursors water and Zn(DMP)2 (DMP = dimethylaminopropyl), which differs from the well-studied diethylzinc (ZnEt2) by its lower vapor pressure and lower reactivity. Lines and patterns of ZnO deposited by ALAM are continuous, display sharp edges, and offer residual-free surfaces. The solid is crystalline and the crystallites can be either isotropically or preferentially oriented depending on the application, as chosen based on the growth conditions. The growth rate is 1.0 Å per pass at 200°C. We demonstrate the reliability and applicability of ZnO ALAM direct patterning by fabricating a metal oxide transistor and characterizing the device performance. Taken together, these results highlight how ALAM can be more flexible and facile than ASD on lithographically defined patterns, and simultaneously more economical and safer than classical ALD.

AS-TuP-3 Density Functional Theory Study on the Passivation of Oxides Surfaces by Inhibitor
Romel Hidayat (Sejong University); Tirta Rona Mayangsari (Universitas Pertamina); Khabib Khumaini, Hye-Lee Kim, Won-Jun Lee (Sejong University)

Small molecule inhibitor (SMI) has been studied extensively for area-selective deposition and seamless gapfill processes. Acetylacetone (Hacac) passivates the aluminum oxide (Al2O3) surface to inhibit the growth of silicon oxide (SiO2) by plasma-enhanced atomic layer deposition (PEALD) [1]. On the other hand, ethanol (EtOH) partially passivates the Al2O3 surface, resulting in a decrease of growth per cycle of the ALD Al2O3 process by almost half [2]. However, no theoretical study compared Hacac and EtOH as SMI. Also, there has been no report on basic compounds as an SMI. In addition, there is no theoretical study to compare oxide surfaces with different acidities. In this study, we investigated the chemisorption of three types of inhibitors, alcohol, amine, and acetone, on different oxide surfaces. EtOH, diethyl amine (Et2NH), and Hacac were selected as the SMIs. We considered three kinds of surface, SiO2, titanium oxide (TiO2), and zirconium oxide (ZrO2), due to their different acidities. The density functional theory (DFT) calculations were used to study the inhibitor chemisorption, and all possible pathways were considered to understand the mechanism. We constructed and optimized the hydroxyl groups on oxide surfaces for the simulation. The reaction and activation energies of each pathway were calculated to compare the reactivity of different inhibitors. The results can provide fundamental insights into a better selection of the SMI for seamless or area-selective ALD processes. Details of the calculation results will be presented.

AS-TuP-4 Selective Deposition on Next-Generation Patterned Carbon/SiO2 Materials
Maggy Harake (Stanford University); Il-Kwon Oh (Ajou University); Stacey Bent (Stanford University)

The semiconductor industry continues to display increased interest in manufacturing smaller and more complex chips, but current top-down processing technology has proven to be challenging for these next generation devices. The versatility and sequential, self-limiting nature of atomic layer deposition (ALD) can address some of these fabrication challenges. A useful extension of ALD is area-selective ALD (AS-ALD), which can be performed using several tunable strategies including inherent selectivity and surface inhibition to provide spatial control over thin-film deposition. One such strategy is the protection of the non-growth surface using self-assembled monolayers (SAMs), which are long-chained organic molecules that chemically adsorb onto a surface to create a well-packed and dense inhibiting layer, resulting in restricted ALD growth wherever the SAM is present.

This work reports on a HfO2 AS-ALD process on C/SiO2 patterns using two different SAMs: octadecyltrichlorosilane (ODTS) and octadecyltrimethoxysilane (OTMS). Properties of ALD-grown dielectric materials can be exploited on carbon materials and have the potential to be used in hard mask applications. The ALD precursors used for HfO2 ALD were tetrakis(dimethylamido)hafnium and water. Plasma-enhanced chemical vapor deposition (PE-CVD) carbon, photoresist (PR), and spin-on carbon were explored as the growth surfaces. SiO2 was studied as a non-growth surface. The two different SAMs were compared to identify if better inhibition on SiO2 could be achieved by exploiting the differences in the siloxane head group of the SAM molecule.

Due to the subtle variability in surface chemistries of the carbon materials, results show that in addition to adsorbing on the non-growth (SiO2) surface, the SAMs also adsorb to varying extents onto the carbon growth surface, as detected by water contact goniometer measurements. Minimizing SAM deposition on the carbon growth regions was achieved by optimizing a cleaning procedure that works for all three carbon substrates investigated. After performing 25 cycles of HfO2 ALD (equivalent to 2.5 nm of HfO2), selectivities approaching 100% for all three carbon materials were retained, as confirmed by x-ray photoelectron spectroscopy. Upon increasing ALD cycles, selectivities decrease, falling below 20% after 75 cycles of HfO2 ALD on all carbon substrates. C/SiO2 patterns are used in combination with OTMS, and the results show that AS-ALD can be achieved in feature sizes at the submicron scale. Finally, the use of UV-ozone treatment before ALD and the selection of different ALD precursors as potential avenues to improve selectivity will be described.

AS-TuP-6 Thermal Assisted Atomic Layer Deposition of Ruthenium by Ru Precursor and O2 as a Reactant
Gagi Tauhidur Rahman (Graduate School of Advanced Science and Engineering, Hiroshima University); Yoshiteru Amamiya, Kazuma Uesugi, Akinobu Teramoto (Research Institute for Nanodevices, Hiroshima University)

Area-Selective Deposition (ASD) has the potential to enable self-aligned patterning schemes. Ruthenium is a promising material for next generation interconnect and so on, due to the advantages of low bulk resistivity and large work function.A study of Ru deposition on different surfaces to determine the suitable deposition conditions, which enables the demonstration of ASD of Ru film.

Fig. 1 shows a schematic time sequence of Ru ALD. The chemical name of Ru precursor is Tricarbonyl (η4 4 -2-methylene -1,3-propanediyl) ruthenium (II) and the chemical formula is C7H6O3Ru. The temperatures of the Ru precursor tank and the susceptor of the ALD equipment are 60 ℃ and 250 ℃, respectively. We investigated three types different surfaces; the surfaces SPM (H2SO4/H2O2 solution) and diluted HF solution, and SiO2 film by CVD method using Tetraethoxysilane.

Fig. 2 shows Ru film thicknesses on three surfaces, where the thicknesses are converted from XRF measurement using the calibration curve. It is evident that films on SPM (-OH terminated) and SiO2 (-O terminated) surfaces are almost the similar however the films on HF (-H terminated) are different from the previous two films. Ru thicknesses on SPMand SiO2 surfaces are larger than that on HF surface.

In SPM/SiO2 cases, the terminated molecules are Si and O, and O is more electronegative than Si, so these surfaces exhibit polarization. The electronegativities of H, O and Si are 2.1, 3.5 and 1.9, respectively. However, in HF case, the terminated molecules are Si and H, and they have small differences in electronegativity. The surface shows neutral characteristics. The catalytic character is also higher in HF surface rather than SPM/SiO2 surface. We expect more deposition on HF surface than SPM/ SiO2 surfaces. However, HF surface showed lower deposition.For those reasons we need to investigate that on HF surface less absorption occurs or the gaseous molecules go desorption after absorption. Parallelly, we have to change the deposition condition to find the fact.

We conclude this research. ALD deposition on SPM, HF and SiO2 surfaces were successfully completed.SPM and SiO2 surfaces showed satisfactory deposition regarding thickness while HF surface did not exhibit due to surface neutrality. The deposited Ru was ~0.13 nm per cycle.

[Acknowledgement]

The authors acknowledge Mr. Krunal Girase, Mr. Hiroshi Nishizato, Mr. Takumi Moriyama for preparing the ALD equipment and useful discussions and also acknowledge TANAKA Kikinzoku Kogyo K.K. for preparing the Ru precursor. This work was partially supported by MEXT Initiative to Establish Next-generation Novel Integrated Circuits Centers (X-NICS) Grant Number JPJ1011438.

View Supplemental Document (pdf)
AS-TuP-7 Enhanced Deposition Selectivity of High-k Dielectrics by Vapor-Dosed Phosphonic Acid Inhibitors Combined with Selective Lift-Off
Jeong-Min Lee, Woo-Hee Kim (Hanyang University)

In modern technologies, misalignment issues of multi-level pattern structures arising from conventional lithographic steps have limited further device downscaling. Accordingly, area-selective atomic layer deposition (AS-ALD) has emerged as a game-changing bottom-up patterning method, which can not only eliminate such misalignment issues but provide selective deposition on desired areas with atomic-level accuracy by virtue of chemo-selective adsorption of inhibitory molecules. Concurrently, high-k materials are vital for nanoelectronic fabrication, among them, ZrO2 has been considered as an ideal candidate due to its relatively high dielectric constant and wide band gap, as well as excellent thermal and chemical stability. Therefore, we report a methodology for achieving selective deposition of ZrO2 films by surface modification through vapor-phase functionalization of octadecylphosphonic acid (ODPA) inhibitor molecules accompanied by post-surface treatment. In this work, comparative evaluation of deposition selectivity and electrical properties of ZrO2 thin films deposited with O3 versus O2 reactants was performed on SiO2, TiN, and W substrates. Through surface modification of vapor-dosed ODPA molecules, significant growth retardation against the ZrO2 ALD process was selectively found on TiN and W, in contrast to SiO2 substrates. With applying chemo-selectively inhibitory characteristics, we successfully demonstrate AS-ALD of ZrO2 films on a metal/dielectric-patterned substrate. We anticipate that this approach will advance AS-ALD of high-k dielectrics toward the upcoming bottom-up 3D nanofabrication.

AS-TuP-10 Area-Selective Atomic Layer Deposition of Ru Thin Films Using Phosphonic Acid Self-Assembled Monolayers for Metal/Dielectic Seletivity
Seo-Hyun Lee, Jeong-Min Lee, Woo-Hee Kim (Hanyang University, Korea)

Area selective atomic layer deposition (AS-ALD) is a promising technique for 3D nanofabrication and emerging device architectures. AS-ALD offers numerous advantages over conventioanl top-down patterning processes. This technique exploits a bottom-up additive process that selectively binds to pre-defined areas through chemo-selective interations between the substrate and molecules. In this study, we investigated the efficacy of different phosphonic aicd (PA) self-assembled monolayers (SAMs), including octadecylphosphonic acid (ODPA), octylphosphonic acid (OPA), ethylphophonic acid (EPA), and phenylphosphonic acid (PPA), for their ability to selectively block metal/dielectirc surfaces, specifically TiN and W versus SiO2. This effectiveness of the SAM-treated surfaces was evaluated using Ru ALD as a model process for metal/dielectirc selectivity. Our results demonstrate that the PA SAMs exhibit chemo-selective adsorption on TiN and W, but their blocking quality improves with increasing alkyl chain lengths due to strong van der Waals interactions between adjacent SAMs. Notably, the ODPA SAMs showed the highest efficacy, enabling area selective ALD (AS-ALD) of Ru thin films over 15 nm on patterned TiN/SiO2surfaces.

AS-TuP-11 Area-Selective Atomic Layer Deposition on Dielectric Substrates via Selective Adsorption of Small Molecule Inhibitors
Jieun Oh, Haneul Park, Jeong-Min Lee, Woo-Hee Kim (Hanyang University, Korea)

Area-selective atomic layer deposition (AS-ALD), surface-determined patterning of bottom-up approaches, is a key technical element compatible with the manufacture of 3D nanoelectronics. It is possible to solve the misalignment issues that occur during the lithography step through accurate pattern placement onto the desired area (referred to as the growth area). The current dominant method for AS-ALD involves using self-assembled monolayers (SAMs) to deactivate certain surface areas. However, SAMs have some drawbacks that prevent their use for sub-10 nm device applications due to their large size, making it difficult for them to be deposited on substrates by vapor phase delivery. To overcome the limitations of using SAMs, a possible alternative is to use small molecule inhibitors (SMIs) that can be delivered in the vapor phase due to their high volatility. In this work, we have demonstrated AS-ALD on dielectric substrates based on the chemo-selective adsorption of small molecule inhibitors. This work employed molecules containing a new silane as a vapor-phase surface moderator to prevent film growth during the subsequent ALD process.The chemical adsorption of inhibitors was confirmed by exploring SiO2 as a growth area and SiN and SiON substrates as a non-growth area. Ru ALD was carried out to check the blocking capability of the inhibitor on surfaces. It is worth noting that this approach would be applicable to advance the bottom-up nanofabrication to oxide and nitride patterns for next-generation nanoelectronics.

AS-TuP-12 Inline Metrology to Characterize and Improve Process Control of Area Selective Deposition
Ganesh Vanamu, Wei Ti Lee (Nova Metrology Instruments); Roy Koret, Joey Hung, John Watkins, Torsten Stoll (Nova Measuring Instruments)

As the semiconductors industry transitions more rapidly towards integration of nanoscale three-dimensional electronic devices, the need for Area Selective Deposition (ASD) increases because self-aligned patterning with selective Atomic Layer Deposition is advantageous over conventional patterning with lithography. The selectivity in ASD is usually achieved by changing the surface chemistry in the area where film growth is either desired or not desired. ALD techniques have proven effective in controlling the adsorption of precursor molecules in specific areas on the substrate. Area-selective ALD faces challenges such as the loss of selectivity after only a few nm thick films are deposited and the extremely long-time intervals necessary to coat defect-free self-assembled monolayers. To overcome these challenges, ASD processes have recently been developed to combine selective modification deposition and selective etching. During the first deposition process, material imperfections such as different composition films are being simultaneously deposited on different material surfaces.

During device fabrication, atomic composition and thickness of the film in the desired locations - as well as unwanted residual material left over in the un-desired locations - need to be precisely monitored after the selective etch processes. This paper explores the use of Nova VERAFLEX®, an industry standard in-line X-ray Photo Spectroscopy (XPS) system measuring materials thickness and composition including bonding states in critical HVM deposition steps. VERAFLEX® measures ultra-thin films with Angstrom level resolution and sensitivity and is used for advance process control of the deposition process. XPS with automated feed forward capability, provides a precise thickness and composition of multi-layers without being affected by the properties of the underlying complex films. Compared to optical metrology solutions such as ellipsometers, XPS holds the advantage of directly detecting and analyzing atom-specific signals without the need to deconvolve optical properties from film thicknesses. For this particular ASD process, we measure the different surfaces where the ALD occurs prior to the deposition and then measure the film after the selective etch to determine the thickness and composition of the desired and unwanted materials on each of the surfaces. With fully automated inline capability on 300mm wafers, VERAFLEX® enables quick turnaround of XPS measurements, thus accelerating the development of ASD process development and help solve critical device challenges by provide better process control.

Session Abstract Book
(333KB, Jul 29, 2023)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD2023 Schedule