ALD2023 Session AF1-TuA: Plasma ALD I

Tuesday, July 25, 2023 1:30 PM in Grand Ballroom E-G

Tuesday Afternoon

Session Abstract Book
(304KB, Jul 29, 2023)
Time Period TuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2023 Schedule

Start Invited? Item
1:30 PM AF1-TuA-1 Plasma Enhanced Atomic Layer Deposition of Silicon Carbonitride
Samuel Johnson, Tsung-Hsuan Yang (University of Texas at Austin); Jianping Zhao, Toshihiko Iwao, Charles Schlechte, John Carroll, Gabriel Blankemeyer, Peter Ventzek (Tokyo Electron America Inc.,); Joaquin Resasco, Gyeong Hwang, John Ekerdt (University of Texas at Austin)

Conformal deposition of dielectrics is necessary for many applications, including as spacers for self-aligned multiple patterning and as charge trap layers in NAND memory features. Plasma enhanced atomic layer deposition (PEALD) of silicon nitride is promising for these applications. However, silicon nitride films deposited with this technique typically have low HF acid wet etch resistance, limiting its applications. Incorporating carbon into silicon nitride to form silicon carbonitride (SiCN) films can overcome this shortcoming by increasing wet etch resistance, but it also increases leakage currents. By incorporating small amounts of carbon to form silicon carbonitride films, a large increase in etch resistance can be achieved with only a small increase in leakage current. Finding a process that delivers a ternary component film with specific material properties is inherently complex as both stoichiometry and bonding nature need to be controlled through surface reactions. Managing the carbon content and nature of bonding within SiCN films is the focus of this talk.

We use PEALD to deposit silicon carbonitride by alternating a thermal exposure of a silicon derived single source precursor containing Si-N, Si-C, and N-C bonds at 100 °C and a plasma step as a model system for SiCN deposition. The precursor contains all the film components (Si:C:N). A plasma step creates a surface on which the precursor can chemisorb leading to ideal film formation. An experimental capacitively coupled RF plasma source is used to survey how different plasmas, including ammonia, hydrogen, nitrogen, and pure argon impact of the nature of chemisorption and film nature. Films were characterized using in situ Fourier transform infrared spectroscopy (FTIR) and in situ X-ray photoelectron spectroscopy (XPS).First principles simulations are used to assess the fundamental mechanisms at play.

FTIR measurements show the plasma type has a large effect on the carbon bonding (C-C, C-N, C=N, C≡N, and C-Si) and the carbon content.XPS measurements reveal ammonia plasma results in no carbon being incorporated into the films; however, hydrogen plasmas result in significant carbon incorporation. Nitrogen plasma assisted processes are characterized by high growth per cycle and very high concentrations of C≡N and C=N bonds. Multiplexing different plasma treatment steps allows the film stoichiometry and bonding nature to be tuned. The fundamental mechanism associated with surface/film chemistry control is discussed in terms of the role of plasma species removal and addition of important nitrogen and carbon containing ligands.

View Supplemental Document (pdf)
1:45 PM AF1-TuA-2 Boron-Carbon Thin Films Deposited via PE-ALD
Neil Richard Innis, Catherine Marichy, Colin Bousige, Catherine Journet (Laboratoire des Multimatériaux et Interfaces, UMR CNRS 5615)

Boron carbide (BxC) finds diverse applications due to its superior hardness, high neutron absorption, and semiconducting nature. The band gap for boron-rich BxC films is known to increase with an increasing B:C ratio. Magnetron sputtering1, and high temperature (≥ 1000 °C) chemical vapor deposition (CVD) are the conventional processes to obtain boron carbide thin films. CVD involves boron hydrides1 or halides2 as precursors along with dihydrogen or simple hydrocarbons. Such precursors come with challenges such as high toxicity and/or corrosive by-products. Furthermore, conformality and thickness control of these films is still a challenge. To overcome it, atomic layer deposition (ALD) appears as a technique of choice, however, BxC has never been synthesized by this technique so far.

From triethylborane (TEB) and hydrogen gas (H2) as precursors, amorphous BxC thin films with atomic-level thickness control on Si 100 substrate using plasma-enhanced atomic layer deposition (PE-ALD) are successfully obtained. The use of hydrogen plasma to remove ethyl groups of TEB to deposit BxCfilms at low substrate temperatures (≤ 200 °C) is demonstrated. It should be noted that in this process the expected by-products are non-toxic and non-corrosive.

Here, the influence of the deposition parameters on the film growth rate, composition and structure will be discussed. The ALD reaction temperature is being investigated between 150 °C and 250 °C alongside spectroscopic ellipsometry. Additionally, the pulse/purge of precursors is optimized to ensure a saturated self-limited surface reaction, and the role of H2 concentration and plasma power in the composition and growth of the deposit is being explored.

In-situ optical emission spectrometry (OES) is also performed to detect and compare the intensity of hydrogen lines, and to look at species responsible for etching and deposition during the ALD cycle. The impact of plasma-activated hydrogen species (as a function of plasma power and H2 concentration) on the morphology and B:C ratio of the deposits is preliminarily assessed via secondary electron microscopy (SEM) and energy dispersive spectroscopy (EDS), respectively. Moreover, surface-sensitive quantification and bonding information are obtained via time-of-flight secondary-ion mass spectrometry (ToF-SIMS) and ex-situ x-ray photoelectron spectroscopy (XPS).

References:

  1. Pascual et al., Diamond and Related Materials8, 402–405 (1999).
  1. Byun et al., Nanostructured Materials5, 465–471 (1995).
  1. Buzhinskij et al., Fusion Engineering and Design45, 343–360 (1999).
2:00 PM AF1-TuA-3 SiO2 Electron-Enhanced Atomic Layer Deposition (EE-ALD) at Low Temperature Using Disilane and Ozone or Water as Reactants
Jonas Gertsch, Zachary Sobell, Andrew Cavanagh (University of Colorado Boulder); Harsono Simka (Samsung Semiconductor, Inc.); Steven George (University of Colorado Boulder)

Electrons can enhance SiO2 atomic layer deposition (ALD) at low temperature using disilane (Si2H6) and either ozone (O3/O2) or water (H2O) as the reactants.SiO2 electron-enhanced ALD (EE-ALD) was demonstrated at 35°C by exposing the sample to sequential electron, oxygen reactant, and Si2H6 exposures (see Figure 1). The reaction sequence was electron beam exposure for 3 s, purge for 5 s, O3/O2 or H2O exposure at 0.5-1.0 Torr for 3 s, purge for 10 s, Si2H6 exposure at 100 mTorr for 1 s, and purge for 15 s. The electron exposure was an electron current of ~150 mA for 3 s. The electrons were produced by a hollow cathode plasma electron source operating with a grid bias of ~-300 V. These electrons could irradiate a sample area of ~2 cm x 2 cm.

In situ spectroscopic ellipsometry measurements determined that the SiO2 EE-ALD films nucleated rapidly and deposited linearly versus number of EE-ALD cycles on silicon wafers (see Figure 2). The SiO2 EE-ALD growth rate at 35°C was 0.89 Å/cycle using O3 and 0.88 Å/cycle using H2O. The SiO2 growth rate was also self-limiting at higher electron and Si2H6 exposures. The SiO2 EE-ALD films could be grown on conducting silicon wafers or insulating SiO2 films. SiO2 EE-ALD is believed to be possible on insulating SiO2 films because the secondary electron yield for SiO2 at electron energies of ~100-300 eV is greater than unity. Under these conditions, the SiO2 film charges positive during electron exposure and then pulls back secondary electrons to maintain charge neutrality.

The SiO2 EE-ALD films had properties that were comparable with thermal SiO2 oxides. The refractive indices of the SiO2 EE-ALD films were n = 1.44 ± 0.02 and equivalent to the refractive index of a wet thermal SiO2 oxide film. Depth-profile x-ray photoelectron spectroscopy (XPS) revealed that the SiO2 EE-ALD films were high quality with carbon atomic percent below the detection limit. Grazing incidence x-ray diffraction (GI-XRD) analysis determined that the SiO2 EE-ALD films were amorphous. In addition, the SiO2 EE-ALD films yielded etch rates in dilute buffered oxide etch solutions that were only slightly higher than the etch rate of a wet thermal SiO2 oxide film. SiO2 EE-ALD should be useful to deposit high quality SiO2 films on insulating and conducting substrates for various applications at low temperatures.

View Supplemental Document (pdf)
2:15 PM AF1-TuA-4 ALD of Transition Metal Chalcogenide TaSX Using TBTDMT Precursor and H2S Plasma
Sanne Deijkers, Harvey Thepass (Eindhoven University of Technology, The Netherlands); Hessel Sprey, Jan Willem Maes (ASM Belgium); Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, The Netherlands)

Tantalum sulfide (TaSx) is a transition metal chalcogenide (TMC) with applications ranging from optical switches and Cu diffusion barriers to quantum dots 1–3. Currently, synthesis of TaSx is done by mechanical exfoliation, chemical vapor deposition, or sulfurization processes. These processes lack control over the growth, are performed at relatively high temperatures, and/or are not suitable for large-scale processing, in contrast to the synthesis method of atomic layer deposition (ALD).

In this study, we have developed a plasma-enhanced ALD process of TaSx using tert-butyliminotrisdimethylaminotantalum (TBTDMT) as Ta precursor and an H2S plasma as co-reactant. Our ALD process of TaSx is the first to be reported in literature. Films of TaSx were grown on thermal SiO2 in an Oxford Instruments FlexALreactor equipped with a remote inductively coupled plasma source. Growth of the TaSx films has been monitored with in situ spectroscopic ellipsometry and shows a linear growth at the temperature range 150-300°C. Evaluation of the precursor dose shows slight soft-saturating behavior, requiring relatively long precursor dose times (20 s). Furthermore, the plasma dose saturates after 10 s. The resulting growth per cycle (GPC) at 300°C is 1.2 Å.

The TaSx films have been investigated by x-ray photoelectron spectroscopy (XPS), and the results show that ALD TaSx films contain minor carbon contamination (< 2%), are only slightly oxidized and have a Ta:S ratio of 1:2.9. Furthermore, the films have been investigated by Raman spectroscopy, x-ray diffraction and scanning electron microscopy.

  1. Perfetti, L. et al. Time evolution of the electronic structure of 1T-TaS2 through the insulator-metal transition. Phys. Rev. Lett.97, 1–4 (2006).
  2. Lo, C. L. et al. Enhancing Interconnect Reliability and Performance by Converting Tantalum to 2D Layered Tantalum Sulfide at Low Temperature. Adv. Mater.31, 1–10 (2019).
  3. Zhou, L. et al. Tantalum disulfide quantum dots: preparation, structure, and properties. Nanoscale Res. Lett.15, 1–8 (2020).
View Supplemental Document (pdf)
2:30 PM AF1-TuA-5 High Deposition Rate NbN and TiN for Superconducting Resonators for Quantum Devices by PEALD
Louise Bailey, Dmytro Besprozvannyy, Russ Renzas, Harm Knoops, Michael Powell (Oxford Instruments Plasma Technology)

In recent years, plasma ALD has become a relevant technique in the field of nanoscale fabrication, including high-volume manufacturing, due to its self-limiting precursor behaviour and low temperature operation regimes. ALD is often limited to ultra-thin films, however, due to slow deposition rates. High-rate ALD is a practical necessity for the widespread adoption of ALD for many applications where film thicknesses in the 50-200 nm range are required. Superconducting quantum devices are one of these applications. These devices are comprised of superconducting resonators coupled to qubits. The operational frequency of the resonator is set by its geometry, but can be shifted undesirably by kinetic inductance effects, which depend on thickness. It is necessary to ensure that the superconducting resonator is thick enough to minimize the effect of kinetic inductance - typically by depositing 50-100 nm of material. Presently, these resonators are typically fabricated by sputtering, but sputtering has failed to meet other device needs, such as low loss at the superconductor-air interface and reproducibility of challenging nitrides. The challenge is to deliver fast processes while maintaining the desired film properties.

Here, we will share our recent development of high-quality superconducting NbN and TiN for quantum applications, such as resonators and interconnects, deposited at rates > 25 nm/hour, which is approximately 3x faster than previously reported. The RF-driven remote plasma source design and chamber of our ALD system are optimized to enable this high deposition rate.

The quality of the deposited films was demonstrated to be excellent, as measured by four-point probe electrical resistivity, conformality, and superconducting transition temperature (Tc). Good superconductive properties of the film were demonstrated by SQUID measurement. Thickness uniformity of < ±5% across a 150 mm Si wafer was achieved with good repeatability. We will also show how stress can be tuned as a function of process parameters.

Emerging quantum technologies based on superconducting nitride materials are showing great promise and will benefit not only from the uniformity of the deposition, conformality and film quality, but also from the speed and control provided by this ALD process.

2:45 PM AF1-TuA-6 Black TiO2 Synthesized using Plasma-Enhanced Atomic Layer Deposition (PEALD)
S. Novia Berriel, Terrick McNealy-James, Brain Butkus, Taylor M. Currie, Chien-Hsuan Chen, Lorianne Shultz, Corbin Feit, Kristopher Davis, Titel Jurca, Parag Banerjee (University of Central Florida)

Black TiO2 is a name given to TiO2 in its heavily reduced state. This unique form of TiO2 is characterized by its black color and optical absorbance from UV to infrared spectrum. Accordingly, black TiO2 has been used as a light absorbing layer in solar cells, catalyst for H2 generation and for the removal of environmental contamination. To date, black TiO2 has been synthesized in various form factors such as single-phase nanoparticles using hydrogen thermal treatment, core-shell nanoparticles using high-pressure hydrogen treatment, and thin films using ambient hydrogen-nitrogen treatment of TiO2 films. However, very little has been reported on ALD of black TiO2 till date.

In this paper, we report on the synthesis of black TiO2 using plasma enhanced atomic layer deposition (PEALD) deposited using alternate pulses of titanium tetraisopropoxide (TTIP) and Ar/H2 plasma. The PEALD process was conducted at 200oC with a remote plasma and a TTIP temperature of 80oC. The films were characterized using in situ spectroscopic ellipsometry, four-point probe resistivity, UV-vis spectrometry, x-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM).

Our data shows a higher growth rate for this PEALD process than for thermal ALD using TTIP, ~0.2 Å/cy and ~0.12 Å/cy respectively. Further, we find that black TiO2 produced via PEALD is a conducting film. The utility of these films is assessed i) as carrier-selective contacts in Si solar cells and, ii) as photocatalysts for studying model dye degradation.

3:00 PM AF1-TuA-7 Optimizing for the Neutral Radicals in Plasma Enhanced ALD
Lauren Otto (Laminera)

ALD has long been an enabler of cutting edge silicon technologies but is not without its issues. Ammonia used in thermal ALD of TiN causes leakage issues with DRAM capacitors. Thermal ALD films have higher impurities and high resistivities, and thermal ALD requires processing at high wafer temperatures (≥400ºC). PEALD was developed to reduce processing temperatures, but ions in PEALD are aggressive reactants and often damaging during film growth like in 3D NAND gate formation with ALD TiN and CVD/ALD W. Plasma damage from ions, electrons, and photons can be an issue in traditional capacitively coupled plasmas (CCPs) as well as inductively coupled plasmas (ICPs) and can cause unwanted local electric fields, charge traps, surface roughening, contamination, and further issues. Neutral radical species are “gentler” reactants than their ionic counterparts and can mitigate issues in traditional ALD and PEALD while maintaining lower temperature deposition. At Laminera, we have worked with a neutral radical source (Mantis Deposition MATS30) to mitigate issues from plasma damage and developed a novel ALD vacuum system designed specifically for working with the mean free path limited (as they are likely to recombine upon close approach) neutral radicals. This talk will cover the science behind working with and designing for the neutral radicals, designing and commissioning a vacuum system for ALD with neutral radicals, initial characterization of TiN deposited using the neutral radical source, and next steps for further technology development and improvement.

3:30 PM Break & Exhibits
Session Abstract Book
(304KB, Jul 29, 2023)
Time Period TuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2023 Schedule