ALD/ALE 2022 Session AA-TuP: ALD Applications Poster Session

Tuesday, June 28, 2022 5:45 PM in Room Arteveldeforum & Pedro de Gante

Tuesday Afternoon

Session Abstract Book
(657KB, May 7, 2022)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

AA-TuP-1 Atomic Layer Deposition of MoS2 Decorated TiO2 Nanotubes for Photoelectrochemical Water Splitting
Chengxu Shen, Ewa Wierzbicka (Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin); Thorsten Schultz, Rongbin Wang, Norbert Koch (Institut für Physik and IRIS Adlershof, Humboldt-Universität zu Berlin); Nicola Pinna (Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin)

MoS2, a prototypical two-dimensional (2D) transition metal dichalcogenide (TMD) material, has been widely applied on multifarious applications such as transistor, catalysis, and energy storage.[1-3] In this work, a low-temperature atomic layer deposition process for MoS2 thin film is successfully demonstrated by using cycloheptatriene molybdenum tricarbonyl (C7H8Mo(CO)3) and H2S as precursors. The as-deposited MoS2 films are amorphous while they can be crystalized in-situ by sulfurization with H2S at 300 °C. Moreover, MoS2/TiO2 heterostructures are facilely synthesized by depositing MoS2 onto anodized TiO2 nanotubes. Photoelectrochemical (PEC) water splitting test have been carried out and our heterostructures exibits a superior PEC performance than pure TiO2 nanotubes under visible irradiation. This improved PEC performance is attributed to an enhanced light-harvesting ability of MoS2 and an improved separation of the photo-generated charge carriers. The band structure of the MoS2/TiO2 heterostructures is further studied to understand the photoelectrochemical mechanism.

[1] T. Jurca, M. J. Moody, A. Henning, J. D. Emery, B. Wang, J. M. Tan, T. L. Lohr, L. J. Lauhon, T. J. Marks, Angew. Chem. Int. Ed. Engl. 2017, 56, 4991.

[2] C. Shen, M. H. Raza, P. Amsalem, T. Schultz, N. Koch, N. Pinna, Nanoscale 2020, 12, 20404.

[3] L. Guo, Z. Yang, K. Marcus, Z. Li, B. Luo, L. Zhou, X. Wang, Y. Du, Y. Yang, Energy Environ. Sci. 2018, 11, 106.

AA-TuP-2 Impact of ALD-TiO2 Overlayer on Hematite Nanorod Arrays for the Photoelectrochemical Water Splitting
Jiao Wang (Humboldt University Berlin, Germany); Letizia Liccardo (Ca’ Foscari University of Venice); Heydar Habibimarkani, Elisa Moretti (Ca´Foscari University of Venice); Nicola Pinna (Humboldt University Berlin, Germany)

Hematite (α-Fe2O3) is an attractive photoanode candidate for solar water splitting because of its favorable bandgap to absorb solar light, nontoxic and abundant. However, the short hole-diffusion length, poor carrier conductivity, and high electron-hole recombination rate especially at surface states significantly limit its practical applications in photoelectrocatalysis. The surface treatment of photoanodes with passivation overlayers have been used to modify the surface states, which can improve the separation and transfer of the photo-generated carriers. Here, hydrothermal grown hematite nanorod arrays were coated with an ultrathin TiO2 layer by atomic layer deposition for achieving an improved photoelectrochemical performance. Compared to a bare Fe2O3 photoanode, the photoelectrochemical water oxidation performance of TiO2-modified Fe2O3 photoanodes is dramatically improved. This improvement is attributed to the surface modification of Fe2O3 with the TiO2 overlayer, which can passivate the surface states, suppress the electron−hole recombination and increase the photogenerated voltage. The effect of TiO2 overlayer thickness on the overall water splitting efficiency of hematite photoanodes has been systematically investigated. This study demonstrates that a surface treatment with a TiO2 overlayer is effective and might be extended to other high-performance photoelectrodes for solar water splitting.

AA-TuP-3 Improvement of the Performance of III-IV Multi-Junction Solar Cells Using Atomic Layer Deposited Antireflective Coatings
Mantas Drazdys, Darija Astrauskyė, Ramutis Drazdys, Tadas Paulauskas (Center for Physical Sciences and Technology)

Multi-junction solar cells composed of group III-V semiconductor alloys are widely employed in space and concentrated-sun photovoltaic applications. For the devices to achieve high power conversion efficiency, the reflection losses must be minimized over a wide 400 – 1600 nm spectral range in which they typically operate. Therefore, the design and deposition techniques of antireflection coatings (ARC) play an important role.

The atomic layer deposition (ALD) technique offers several advantageous properties: resulting films are highly conformal, which ensure surface passivation of the structure and protection of the window layer from atmospheric conditions and oxidation. Also, the depositions can be conducted at low temperatures, which prevents unintentional solar cell contact alloying or hardening of photoresist for subsequent device testing. Finally, very high precision thickness control of multilayer ARCs can be achieved, which is a result of self-limiting ALD reactions.

In this work, two different antireflective coatings were deposited on GaAs and AlGaAs subcells and fused silica (FS) glass. The optical design of an ARC typically uses a sequence of layers with high and low refractive indices. For a low refractive index material, Al2O3 can be used, since ALD thermal deposition of Al2O3 is well known and does not require a complex reactor design. The first ARC was deposited using exclusively thermal ALD and consisted of two layers – TiO2 and Al2O3. To minimize reflection losses over a wide spectral range even further, materials with higher refractive index contrast could be used. Silicon dioxide (SiO2) is one of the most widely employed materials in the manufacturing of optical coatings owing to its low refractive index and large bandgap. However, it has been shown that the deposition of SiO2 using a thermal process, where oxidant is water, is not sufficient. Therefore, the second ARC was deposited using a combination of thermal and plasma enhanced ALD and consisted of three layers: Al2O3 (thermal), HfO2 (thermal), and SiO2 (plasma enhanced).

Here, we present optical coating modelling and deposition results, including reflection spectra, surface morphology, and photovoltaic characteristics comparison of the cells with different coatings.

AA-TuP-4 Li-Nb-O Protection Layer for Li-ion Battery Electrodes via Atomic-Layer-Deposition
Dae Woong Kim, Woongpyo Hong, Jung-Yeon Park (Hyundai Motor Company); Seung-Jeong Oh Oh (Hyundai Motor Comp); Minji Lee, Seung Won Noh, Tae Joo Park (Hanyang University, Korea)

Recently, various active materials are being developed as anode/cathode to enhance the performance of next-generation lithium-ion batteries. However, due to the high reactivity occurring at the electrolyte/electrode interfaces, the active material requires a protective layer to improve durability.[1] Unlike general metal-oxide materials, lithium compounds have high ionic conductivity as well as electrochemical stability, so they are considered as one of the ideal solutions for active material protection. Although various technologies are used for coating processes, it is an issue to apply a nano-scale coating to the active material due to thickness control, uniformity, and intrinsic defects of the film. Owing to the attainment of uniform, conformal, ultra-thin, pin-hole free, and thickness controlled deposition of sub-nanoscale films, atomic layer deposition (ALD) is one of the most promising techniques to realize a stable, high-performance thin-film protection layer.

In this work, we have demonstrated Li-ion conductive ALD Li-Nb-O thin films with various compositions were grown by repeated sub-cycle of LiOH and NbOx via ALD, and thickness was measured by ellipsometry. The composition of thin films was estimated by XPS and ICP The ionic conductivity of thin films was measured by electrochemical impedance spectroscopy. The experimental results will be presented in detail.

View Supplemental Document (pdf)
AA-TuP-5 ALD Ge-Se-S Amorphous Chalcogenide Alloys via Post Plasma Sulfurization for OTS Applications
Myoungsub Kim, Seungwon Park, Tae Hyun Kim, Seunggi Seo, Minkyu Lee, Seung-min Chung, Taeyoon Lee, Hyungjun Kim (Yonsei University, Korea)

The 3D cross-point memory using chalcogenide amorphous material with ovonic threshold switching (OTS) selector is already required future scaling study for storage class memories and neuromorphic computing systems. The cross-point memory of today`s planar 3D structure is expected to change to a vertical 3D cross-point structure, as in the history of NAND flash, so it is necessary to study chalcogenide ALD for OTS application.1 We present an ALD study of ternary Ge-Se-S thin films, motivated by the expectation of superior OTS properties over binary Ge-Se and Ge-S, recently published in ALD research.2,3 Here, we performed DFT calculations and ALD experiments comparing HGeCl3 and GeCl4 precursors based on Se(SiMe3)2 precursors. The ALD GeSe2 thin film with self-limiting behavior is showed to be able to synthesize the novel Ge-Se-S alloys through post-sulfurization process. By changing the temperature and time of the low-temperature plasma sulfurization process, the compositional change of 10 nm-thick Gex-Sey-Sz thin films were controlled along the GeSe2-Ge2S pseudo binary line. It was confirmed that the Ge5Se3S2 alloys through the two-step process maintained the amorphous phase and excellent step coverage similar to ALD GeSe2. Finally, we compared the OTS electrical characteristics of ALD GeSe2 and Ge5Se3S2 amorphous chalcogenide thin films in a mushroom-type device with a 50 nm bottom electrode. The novel Ge5Se3S2 exhibited lower off current and superior cyclic endurance up to 1E6 cycles than GeSe2. The achievement of ALD research on novel ternary Ge-Se-S amorphous chalcogenide will contribute to development the future 3D cross-point memory scaling.

Acknowledgments

This paper was result of the research project supported by SK Hynix Inc. We would also like to thank Merck for providing Ge, Se precursors.

References

[1] Kim, T. & Lee, S. Evolution of Phase-Change Memory for the Storage-Class Memory and beyond. IEEE Trans. Electron Devices67, 1394–1406 (2020).

[2] Yoo, C. et al. Atomic Layer Deposition of Ge xSe1- x Thin Films for Endurable Ovonic Threshold Selectors with a Low Threshold Voltage. ACS Appl. Mater. Interfaces12, 23110–23118 (2020).

[3] Myoungsub Kim et al. PE-ALD of Ge 1−x S x amorphous chalcogenide alloys for OTS applications. J. Mater. Chem. C9, 6006–6013 (2021).

AA-TuP-6 Low-Temperature ALD for Electronic Applications
Jun Yang, Amin Bahrami, Samik Mukherjee, Shiyang He, Sebastian Lehmann, Kornelius Nielsch (Institute for Metallic Materials, Leibniz Institute of Solid State and Materials Science Dresden)

Atomic layer deposition (ALD) is an advanced thin film deposition technique, based on self-limited surface reaction, to dose in the chamber with two or more separated gaseous reactant pulses at a low temperature. The development of semiconductor thin films with good performance may be a true enabler for a variety of applications, such as displays, sensors, photovoltaics, memristors, and electronics. In this poster, a variety of thin films, including ZnO, SbOx, and Sb2Te3 were developed. The quality of the thin film was evaluated using XRD, XPS, and ERDA. Finally, these thin films were successfully integrated on field-effect transistors (FETs) or photodetectors and the performance of devices was discussed.

View Supplemental Document (pdf)
AA-TuP-8 N-Doped TiO2 Nanotubes Synthesized by Atomic Layer Deposition for the Degradation of Acetaminophen
Syreina Alsayegh, Mikhael Bechelany, Mahmoud ABID, Fida TANOS, Geoffroy LESAGE, François Zaviska (Institut Européen des membranes)
Titanium dioxide is widely used in photocatalysis applications for wastewater treatment due to its benefits. However, its wide band gap and fast electron-holes recombination limits its use under visible light. Many techniques have been used for elaboration and modification of this catalyst. Investigations demonstrated that Titanium dioxide (TiO2) structure plays a major role on enhancing the degradation efficiency of different micropollutants present in wastewater. Titanium dioxide (TiO2) nanotubes have attracted much interest in photocatalytic degradation due to their large specific surface area and highly ordered structure. Atomic Layer Deposition (ALD) proves to be very suitable for elaboration of well-structured photocatalysts. In this work, N-doped TiO2 nanotubes (NTs) were successfully prepared by ALD followed by a thermal treatment for nitrogen doping. The photocatalytic efficiency of these nanotubes was compared to TiO2 nanofibers (NFs) for the degradation of acetaminophen (ACT). Therefore, the acetaminophen degradation performance on the nitrogen-doped photocatalyst is much enhanced and superior to that of TiO2 nanofibers prepared by electrospinning. The morphology and structure of these materials were investigated by several characterization techniques such as scanning electron microscopy (SEM), X-ray diffraction (XRD), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). Photolumiscence measurement were also achieved, and TiO2 NTs shows a lower PL intensity than TiO2 NFs. The lowest PL intensity correspond to a lower recombination of electron-holes, consequently higher degradation efficiency. The nanotubes were doped with Boron and/or nitrogen in order to enhance its photocatalytic activity for acetaminophen degradation. Among the different doping, nitrogen doped TiO2 demonstrated the best catalytic properties. The degradation efficiency of N-TiO2-NTs was 5 times higher than TiO2-NFs with a degradation rate of 0.05 and 0.01 mg.L-1 .min-1 , respectively. In addition, the photocatalyst has shown a high stability after 4 repetitive cycles, then the stability slightly decreased after the fifth cycle. Acute toxicity assays confirm the release of high toxic sub-products during the first hours of ACT degradation but the toxicity decreased markedly to lower values than initial ACT toxicity after 5 hours irradiation View Supplemental Document (pdf)
AA-TuP-9 Iron-Nickel Oxide and Iron-Nickel Sufide Deposited by Atomic Layer Deposition for the Oxygen Evolution Reaction in Alkaline Media
Estelle Jozwiak, Nicola Pinna (humboldt University Berlin, Germany)
Iron-Nickel alloys in their oxides and sulfides form have already made their proof as electrochemical catalysts for the oxygen evolution reaction. In those alloys both nickel and iron atoms play a different and a complementary role regarding the OER activity: The nickel ones act as active sites while the iron atoms act as an electron relay during the oxygen production. Regarding to the sulfide ions their role is more indirect. They will be exchange by oxide ions during the OER leading to the creation of defaults that will reveal new active sites and so increase the catalytic activity. In this study iron-nickel oxides and sulfides alloys were deposited by atomic layer deposition on carbon nanotubes using nickelocene and ferrocene as metallic sources, and, ozone and hydrogen sulfide as co-reactants. Different ratios of metals were used during the deposition which showed a morphological variation of the final deposited layer. The co-reactants choice also led to a variation of the final morphology. All the samples were tested as catalyst for the OER by using a rotating disc electrode in order to improve the catalysts performance.
AA-TuP-10 Forming-Free Non-Linear Resistive Switching Memory Devices With ALD-Grown HfOX/TaOX Bilayers
Mari Napari, Firman Simanjuntak, Spyros Stathopoulos, Themis Prodromakis (University of Southampton)

Resistive switching random access memories (RRAM) have been extensively studied in recent decades because they could potentially be the future of data storage and replace the NAND flash memory when its scaling ends [1]. Several challenges in the RRAM design still remain such as the necessity of electroforming operation to activate the devices with high voltage, and sneak-path issues in the array [2]. Here, we present our work on RRAM devices with HfOx/TaOx bilayers grown by thermal and plasma enhanced atomic layer deposition. These devices with ultra-thin switching layer thickness (< 10 nm) are able to perform electroforming-free with non-linear characteristics. The insertion of the HfOx layer induces the switching behaviour in the single-layer TaOx Zener diode. We also confirmed that this phenomenon persists with both the Pt/Ti and TiN electrodes. We discuss the multi-bit operation of the devices and the factors impacting their stability (retention & endurance), uniformity and scalability. This discussion on the device performances is supported by X-ray photoelectron spectroscopy characterisation of the thin films and their interfaces.

[1] J. Prinzie, F.M. Simanjuntak, P. Leroux, and T. Prodromakis, Nat. Electron. 4, 243 (2021).

[2] H. Wang, F. Meng, B. Zhu, W.R. Leow, Y. Liu, and X. Chen, Adv. Mater. 27, 7670 (2015).

AA-TuP-11 Film Properties of Al2O3 on Si and Graphene substrates deposited by UV Enhanced Atomic Layer Deposition
Geonwoo Park, Jeong Woo Shin, Dohyun Go, Jihwan An (Seoul National University of Science and Technology)

Atomic layer deposition (ALD) is a technology that can deposit a very thin, uniform, high-quality and dense film. Due to these advantages, ALD technology is being applied to various energy storage and conversion devices such as fuel cells, capacitors, and batteries. Graphene is one of the well-known 2-D materials consisted of strong carbon bonding, and it shows interesting materials properties, e.g., mechanical flexibility, high carrier mobility and surface to volume ratio, and therefore has been widely adopted in energy storage and conversion devices. However, basal plane of graphene is inert due to the strong sp2 carbon bonding, which can inhibit the facile nucleation ALD layer because ALD process is based on chemical reaction with the surface of the substrate. There have been many attempts to functionalize graphene surface such as oxygen plasma, UV-ozone, thermal oxidation treatments, etc. For instance, plasma treatment is well-known method to functionalization of the graphene, but the high ion energy of plasma can etch the graphene, so the intrinsic properties of graphene can be damaged. On the other hand, UV light energy has the advantage of having a directionality (possibly desirable for selective functionalization), mild energy level (a few eV), and easy controllability.

In this study, we incorporated UV-light source into ALD system, i.e., UV-enhanced ALD, and deposited Al2O3 film on silicon and graphene substrates. UV irradiation (10mW/cm2, 3-4 eV) was conducted with control during the reactant pulse & purge step, and the characteristics of the deposited thin film were analyzed. We show that the film quality of the Al2O3 thin film on Si and graphene substrates was changed by UV irradiation during the ALD process. As a result, it was confirmed that, as the UV irradiation time increased, the carbon contamination level of the Al2O3 thin film decreased with the density increment on the Si substrate. However, when UV-enhanced ALD was performed on graphene substrates, the film density and uniformity were controlled depending on the UV irradiation time. The uniformity and density of the Al2O3 thin film increased when UV was irradiated for up to 5-s, while,in turn, the uniformity of the thin film decreased when the UV irradiation time was exceeded over 5-s. We speculate that UV light promotes neucleation site on the silicon substrate, but adversely affects the neucleation on the graphene substrate. This is because O-H bonds on graphene substrate have smaller bonding energy than that on silicon substrate, which can be more easily removed by UV irradiation energy.
AA-TuP-12 Atomic Layer Deposition of Ruthenium Using a Zero-Valent Precursor
Ella Rimpila, Jani Hamalainen, Peter J. King (Picosun Oy)

Ruthenium (Ru) thin films have multiple applications in the microelectronic industry. For example, Ru films can be used as a barrier layer for copper interconnect lines in integrated circuits or as electrode material in dynamic random access memory (DRAM) capacitors, and in the future possibly as interconnect material itself.[1–3]In such applications, conformality and precise thickness control of ALD are advantageous. In some cases, the thickness of the films is required to be in the range of only afew nanometres. Uniformity of the Ru films across the substrates is also essential in industrial applications.

According to literature, numerous Ru precursors have been used in ALD during the last two decades.[4,5] The precursors can be classified based on the oxidation state of Ru, for example. Many of the newer precursors are zero-valent, which means that Ru has the oxidationstate of zero. It appears that zero-valent Ru precursors generally have the benefit of shorter nucleation delays compared to Ru precursors with higher metal valences.[5]

In this work, a Ru ALD process using a zero-valent precursor was examined for a 200mm wafer scale Picosun R-200 Advanced single wafer ALD tool. Several process conditions, such as deposition temperature and precursor pulsing time, were varied. Electrical properties of the obtained Ru films were analysed with a four-point probe (4PP), and thicknesses of selected samples were measured by XRR, and resistivities were then calculated based on the resulting data. Nonuniformity of the films was evaluated by 4PP sheet resistance mapping. Additionally, SEM, XRD, and ToF-ERDA analyses were done.

Ru was deposited both directly on native oxide (thermal SiO2), and on in-situ grown Al2O3, which were compared in terms of film resistivity, uniformity, and estimated nucleation delay. Of these, SiO2 proved to be a more suitable surface for ALD of Ru using this zero-valent precursor. Nucleation delay of Ru growth on SiO2 was estimated to be negligible.

Conductive and continuous Ru films were grown at a range of deposition temperatures between 230 and 350 °C. Relatively low resistivities were obtained: for example, the resistivity of a 20 nm thick Ru film grown on SiO2 was 22 μΩcm. At best, nonuniformities (1σ) of under 5% were achieved. Furthermore, a conductive film with a thickness of 2.4 nm was deposited.

Refs.

1C. Adelmann et al., IEEE, 2014, pp. 173–176.

2L. G. Wen et al., ACS Appl. Mater. Interfaces 2016, 7.

3C. H. An et al., J. Phys. Chem. C 2019, 123, 22539–22549.

4K. Kukli et al., J. Electrochem. Soc. 2011, 158, D158-D165.

5S.-H. Kim et al., Electrical & Electronic Materials 2015, 28, 25–33.

AA-TuP-13 Wake-Up-Free Metal-Ferroelectric-Metal Capacitor Consisted of Hf0.5Zr0.5O2 and Tin(200) Bottom Electrode
Dong Hee Han, Ae Jin Lee, Minkyeong Nam (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University); Taehwan Moon (Inorganic Material Lab, Material Research Center, Samsung Advanced Institute of Technology); Woojin Jeon (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University)

KEYWORDS: Ferroelectric, Hf0.5Zr0.5O2, wake-up, local-epitaxy

Wake-up effect in Hf0.5Zr0.5O2 (HZO) based ferroelectric thin films is reported to be due to the stabilization of the orthorhombic phase following the redistribution of oxygen vacancies present at the interface between the electrode and the ferroelectric layer during electric field cycling. [1] This effect adversely affects device reliability of the metal-ferroelectric-metal (MFM) capacitor. To improve the reliability characteristics of ferroelectric-based memory devices, it is necessary to prevent the wake-up effect.

In this study, the ferroelectric properties of HZO according to the crystallographic orientations of the TiN bottom electrode (BE) were investigated. The wake-up-free was shown in the MFM capacitor in which HZO was grown on TiN(200) BE. To clarify the reason of wake-up-free, the crystalline structure of MFM capacitors which were consisted of TiN(111) and TiN(200) BEs were carefully compared. High resolution transmission electron microscopy revealed that HZO film was epitaxially crystalized on TiN surface. Crystallographic orientation of HZO film on TiN(200) was more favorable to form orthorhombic phase than that on TiN(111) considering effective tensile stress on lattice. Therefore wake-up-free and higher remnant polarization of HZO film on TiN(200) were ascribed to prominent portion of orthorhombic phase in the film. Accordingly, controlling preferred orientation of TiN BE will enable robust the ferroelectric characteristics of HZO based MFM capacitors.

Reference

[1] Kim et al., Nanoscale, 2016,8, 1383-1389

Acknowledgments This work was supported by by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

AA-TuP-14 Investigating the Y-Doped HfO2 Thin Film for the Metal-Insulator-Metal Capacitor Application Using a Cocktail Precursor
YoungUk Ryu, Hyeonho Seol (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University); Hansol Oh, Inchun Hwang, Yongjoo Park (SK trichem Co. Ltd.); Woojin Jeon (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University)

In recent years, HfO2 has been attracting a lot of attention as high dielectric constant material utilized to gate insulator and capacitor dielectric. However, the pristine HfO2 tends to have a monoclinic phase, which has a relatively lower dielectric constant. To demonstrate the tetragonal phase, employing yttrium (Y) dopant has been reported because the Y allows both tetragonal phase formation and enhancing the crystallinity, simultaneously. [1, 2] The Y-doped HfO2 thin film exhibited dielectric constant as high as 40, and strong dependency in the Y concentration, indicating that a deposition process for homogeneous dopant distribution in the thin film is required.

In this presentation, the atomic layer deposition (ALD) process using a cocktail precursor with a liquid delivery system (LDS) was investigated for the Y-doped HfO2 thin film deposition with homogeneous Y dopant concentration. Also, the change in the electrical properties of Y-doped HfO2 according to the Y concentration was confirmed and compared with the crystal structure. The optimized yttrium concentration where HfO2 grows into a tetragonal phase was identified, and the fraction with the highest dielectric constant was found. Using this, a metal-insulator-metal capacitor with improved performance was developed by constructing a ZrO2 / Y-doped HfO2heterostructure. In this study, we developed ZrO2 / Y-doped HfO2 structure with a higher dielectric constant than the ZrO2/Al2O3/ZrO2 (ZAZ) structure adopted in the current DRAM structure, which is expected to have high process suitability for the current DRAM fabrication.

Reference[1] J Kim et al. Y-doped HfO2 deposited by Atomic Layer Deposition using a Cocktail Precursor for DRAM Capacitor Dielectric Application, 2021, ceramic international. [2] J.S.Lee et al. Atomic layer deposition of Y2O3 and yttrium-doped HfO2 using a newly synthesized Y(iPrCp)2(N-iPr-amd) precursor for a high permittivity gate dielectric, 2014, appl.surf.sci.

Acknowledgments This work was supported by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

AA-TuP-15 Atomic-layer-deposited Molybdenum Dioxide Thin Films as Promising Electrode Candidates for Application to Next-generation-dynamic-random-access-memory Devices
Yewon Kim, Ae Jin Lee, Dong Hee Han, Songyi Moon, Taewon Youn, Minyung Lee, Woojin Jeon (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University)

In dynamic random-access memory (DRAM) devices, TiO2 one of promising-high dielectric canstant (k) materials has been studied to increase the capacitance density. However, TiO2 deposited by atomic layer deposition (ALD) on TiN whichismainlyusedasanelectrodeinDRAMexhibited an amorphous or anatase phase, which has a low k value of less than 40. Meanwhile,by using RuO2 electrode, TiO2 can be crystallized into rutile phase, which has higher k value of 100, even at as-deposited state by a template effect due to its low lattice mismatch. [1,2] However, RuO2 electrode have a difficulty applying real devices due to poor thermal stability.

Thus, MoO2 has been proposed as an alternative oxide electrode for DRAM capacitor.[3] The crystal structure of MoO2 has similar lattice constant with rutile-TiO2, resulting in the rutile-TiO2 formation as does as the RuO2. Moreover, MoO2 has an adequate thermal stability even after annealing process. However, developing the MoO2 deposition process is a challenging issue because the formation energy of MoO2 is higher than that of MoO3 throughout the temperature range.

In this study, we used the template effect to develop an atomic layer deposition process for MoO2 thin films exhibiting high crystallinity and a very high work function. By employing MoO2, a rutile TiO2 thin film exhibiting a k value as high as 150 was obtained. The high work function was also attributed to leakage current suppression in the metal-insulator-metal capacitor. Eventually, the minimum equivalent oxide thickness of 0.35 nm, the lowest ever reported, was achieved. Therefore, the proposed MoO2 ALD is applicable to developing next-generation dynamic-random-access-memory devices.

Acknowledgments This work was supported by by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

References [1] Seong Keun Kim etal, Applied Physics Letters, Volume 85, 4112-4114 (2004). [2] Seong Keun Kim etal, Applied Physics Letters, Volume 99, 022901 (2011). [3] Woongkyu Lee etal, Journal of Materials Chemistry C, Volume 6, 13250-13256 (2018).

AA-TuP-16 Improving Properties of Atomic-layer deposited ZrO2 Thin Film by Employing the Discrete Feeding Method with Various Zr-based Precursors
Aejin Lee, Minkyeong Nam, Yewon Kim, Woojin Jeon (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University)
ZrO2 is a representative high dielectric constant (k) material for dynamic random-access memory (DRAM) capacitors because it has a relatively high dielectric constant (k~40) and a sufficient band gap.[1] The conventional atomic layer deposition (ALD), which is well known to be used to deposit ZrO2 for DRAM capacitors, can secure step coverage and uniformity,[2] but the full saturation required an extremely long feeding time. In addition, the ALD saturated growth rate is affected by a screen effect, in which the physisorbed precursor molecules screen the active adsorption sites for the following precursor.[3] To eliminate the screen effect, the discrete feeding method (DFM) has been investigated. The DFM-ALD was performed by dividing the Zr feeding and purge steps of the conventional-ALD process into shorter several steps (shorter feeding + cut-in purge).[4] The DFM effectively removes the physisorbed precursor through the cut-in purge during precursor feeding and improves the growth rate by 10 %.[5] In addition, since the size of the physisorbed precursor molecules affects the extent to which the active adsorption sites are covered, the effect of DFM differs depending on the size of the precursor molecule.
In this study, the growth behavior and electrical properties of ZrO2 deposited via ALD with a conventional process and DFM were compared, and the extent to which the precursor size affected the DFM effect was analyzed. Through DFM, it was confirmed that the growth rate of Cyclopentadienyl Tris(dimethylamino) Zirconium (Cp-Zr) and Tetrakis(ethylmethylamino) Zirconium (TEMAZr), which are Zr-based precursors of different size, was increased by 16 and 23 %, respectively. Unlike Cp-Zr, which still has a steric hinderance even when physisorbed precursors are removed by cut-in purge, TEMAZr with a small size has excellent DFM effect by securing enough active sites by cut-in purge. When DFM was applied to both precursors, the crystallinity and density were improved, and in the case of TEMAZr, which had excellent DFM effect, the density was 5.68 g/cm3, which is the literature value. By securing a high-density thin film, the interfacial properties were also improved.
Acknowledgments This work was supported by by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).
References [1] D Panda et al, Thin solid films 531, 1 (2013). [2] M. Gutsche et al, Future Fab, 213-217 (2003). [3] M. A. Alam et al, J. Appl. Phys. 94, 3403 (2003). [4] Dae Seon Park et al, ACS Appl. Mater. Interfaces 13, 23915 (2021). [5] Tae Joo Park et al, Chem. Mater. 23, 1654–1658 (2011).
AA-TuP-17 Atomic Layer Deposition of AlN Films With and Without Plasma Piezoelectric Effect
Noureddine Adjeroud (Luxembourg Institute of Science and Technology (LIST))

Summary

  • Low temperature processes from 180 °C to 250 °C for growing AlN with (002)-preferred orientation by plasma enhanced atomic layer deposition
  • High temperature processes 400 °C to 500 °C for growing AlN with atomic layer deposition
  • Measurement of piezoelectric coefficient e31,f of AlN films: 0.38 C.m-2 for 590 nm-thick (002) AlN film

Motivation and results

Aluminum nitride (AlN) thin films have attracted significant attention for optoelectronics, piezoelectrics-based devices as surface-acoustic-wave resonators thanks to its excellent properties such as wide band gap of 6.2 eV, piezoelectricity along c-axis and high phase velocities of acoustic waves. Those applications, however, require the control of the c-axis (002) crystalline orientation of AlN in order to achieve the highest piezoelectric effect and surface acoustic velocity [1]. Many efforts have been devoted to grow (002)-oriented AlN films. For instance, (002) oriented AlN film can be synthesized by pulse laser deposition, chemical vapor deposition, plasma assisted physical vapor deposition and plasma enhanced atomic layer deposition (PEALD). Nevertheless, those techniques require either elevated temperatures of substrate from 300 to 1000 oC and/or long period of plasma treatment during each cycle [2, 3]. In this study by carefully adjusting parameters of PEALD we were able to tailor preferred orientations of AlN thin films from a preferred (100) orientation to the (002) orientation at low substrate temperature from 180°C to 250 oC (figure 1). In addition, X-ray photoelectric spectrometry surveys (figure 2) confirmed high quality AlN films with low impurities level of 1% of carbon and 6-7% of oxygen, which are comparable to the literatures [4, 5]. The direct piezoelectric coefficient e31,f measurements (4-point bending method, aixACCT), which were rarely reported on AlN films grown by PEALD, revealed a strong correlation between crystallographic orientations and e31,fvalue of AlN films; i.e. e31,f coefficient of (100) AlN film was almost “zero”, whereas that of (002) preferred orientation film was measured to 0.38 C.m-2 (figure 3). In addition, we synthesized 2-2 magnetoelectric composites by depositing (002) oriented AlN film of 500 nm thickness on nickel foil with an excellent interface coupling by the highly conformal coating of ALD technique. Though, AlN film is grown at temperature as low as 250 °C, the properties of the AlN film are utmost promising for energy harvesting and sensing applications on silicon-based as well as flexible-organic-substrate-based micro-electro-mechanic-system (MEMS) devices.

AA-TuP-18 Growth of Rutile TiO2 on VO2 by Atomic Layer Deposition for DRAM Capacitor Application
Seungwoo Lee, Dong Hee Han, Min Kyeong Nam, Ye Won Kim (Kyung Hee University); Donghyun Kim, Kyungmog Kim, Yongjoo Park (SK Trichem Co. Ltd.); Woojin Jeon (Kyung Hee University)
Among binary transition metal oxides, titanium dioxide (TiO2) has been widely studied for application to next-generation DRAM capacitor because it has a relatively high dielectric constant (k). TiO2 has different k values depending on the crystalline phase. The anatase structure has a k value of about 40, and the rutile structure has a higher k value of 86 and 170 along the a-and c-axis, respectively.[1, 2] However, rutile TiO2 is a stable phase at high temperature and requires a subsequent annealing process at very high temperature.[3] Alternatively, it can be formed under epitaxial growth conditions on materials such as RuO2 which have similar crystal structures to rutile TiO2.[4] Vanadium dioxide (VO2) undergoes a reversible phase transition from the low-temperature monoclinic VO2 to the high-temperature rutile VO2 at about 67 °C.[5] Rutile VO2 has less lattice mismatch with rutile TiO2 than RuO2.[6] It has also been reported that when TiO2 was grown on VO2, oxygen ionic transport to TiO2 occurred due to the difference in the chemical potential for oxygen between the two materials, which facilitates the crystallization of rutile TiO2.[7]

Therefore, in this presentation, crystallization of TiO2 to rutile phase on VO2 films using the crystal structure similarity between rutile TiO2 and VO2 was demonstrated. VOx film was deposited by atomic layer deposition (ALD) and monoclinic VO2 was formed using rapid thermal annealing under appropriate O2 partial pressure. TiO2 ALD on VO2 substrates was carried out at a temperature (250 °C) higher than the phase transition temperature of VO2. The crystalline property of TiO2 and VO2 films was investigated by X-ray diffraction. In addition, it was confirmed that TiO2 grown on VO2 has a rutile structure by electrical properties analysis.

Acknowledgments This work was supported by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

References [1] JY Kim et al., Jpn. J. Appl. Phys. 44, 6148 (2005). [2] U Diebold, Surf. Sci. Rep. 48, 53 (2003). [3] C Jin et al., Nanoscale Res. Lett. 10, 95 (2015). [4] SK Kim et al., Electrochem. Solid-State Lett. 9 F5 (2006). [5] A. Cavalleri et al., Phys. Rev. B 70, 161102(R) (2004). [6] Ziyang Yu et al., Ceram. int. 46, 8, 12393 (2020). [7] Y Park et al., Nat. Commun. 11, 1401 (2020).
AA-TuP-19 Superconducting Nbn: Sputtered Versus Plasma ALD With Bias
Tania Hemakumara, Yi Shu, Harm Knoops, Russ Renzas (Oxford Instruments Plasma Technology); Valérie Gauthier, Valentin Giglia, Sylvain Nicolay (Université de Sherbrooke); Martin Weides (University of Glasgow); Michel Pioro-Ladrière, Dominique Drouin (Université de Sherbrooke); Ravi Sundaram (Oxford Instruments Plasma Technology)

High quality superconducting metal nitrides are crucial for the fabrication of quantum devices. NbN, specifically has been widely used due to its favourable critical temperatures (Tc), where PVD has been the traditional route for the deposition of NbN. However, ALD offers many advantages compared to PVD, including superior reproducibility, composition, and thickness control. For these films to be used in quantum applications, information on film quality and composition are essential. Comparison of sputtered and ALD films enable us to understand how these properties differ and their impact on Tc and crystal orientation. Here we report the potential of ALD with bias based on these studies for various quantum applications. To this end data on electrical, chemical and physical characterisation of the ALD and sputtered films will be provided.

NbN has been deposited using TBTDEN and H2/Ar plasma at 250ᵒC using RF substrate bias. Sputtered films were deposited using a pure Nb target and N2/Ar gas mixture. 50 nm of both sputtered and ALD NbN were deposited on intrinsic Si samples. Sample resistance measurement were performed in a 4-point probe configuration as a function of temperature from 300 K down to 2 K and Tc was extracted by taking the intercept of the slope at the resistance drop point with the temperature axis. In addition, XRD and SIMS analysis were also performed on the ALD film to obtain the crystal orientation and composition of the NbN film.

Sputtered NbN resulted in a Tc of 12 K, this is lower than values stated in literature and is possibly due to the challenging nature of optimizing sputtered nitrides or due to the formation of defects at the Si/NbN interface during the sputtering process. ALD NbN on the other hand has resulted in a Tcof 14.5 K. In addition, a (111) crystallographic orientation has been observed on the XRD measurements of the ALD NbN film, which is indicativeof the cubic phase leading to superconductivity. Further, SIMS analysis confirmed low O content on the NbN films proving high quality ALD deposited films.

The enhanced Tc, XRD and SIMS data of ALD NbN with bias is encouraging for the realisation of devices for quantum application.

This work is supported by NSERC Canada and Innovate UK project, “Advanced Manufacturing Toolkit for Quantum Sensing and Quantum Computing”.
AA-TuP-20 Membrane Design by ALD/MLD for Hydrogen Sensing
Syreina Sayegh, Martin Drobek, Anne Julbe, Mikhael Bechelany (European Institute of Membranes)

Hydrogen (H2) is one of the energy vectors essential for the success of the energy transition. In less than twenty-five years, hydrogen is expected to represent 18% of the total energy consumed on the planet thus leading to possible CO2 emissions reduction by 6 gigatonnes compared to current levels. At the same time, hydrogen energy technologies involve major environmental, research and industrial challenges. In this work, we will address our research implication in the area of hydrogen detection.

The explosive and flammable nature of hydrogen hampers a widespread deployment of this energy vector without an efficient securing production, storage and implementation facilities and equipment, both in industrial or general public sectors. In this respect, hydrogen selective gas sensors are recognized as essential links in this security chain. Depending on the operating conditions, such sensors should fulfill very precise specifications in terms of sensitivity, selectivity, response time, temperature range etc. In our research group, different original approaches in detection and sensor design are currently studied. For instance, gas sensors based on zinc oxide (ZnO) nanowires encapsulated in MOF (Metal Organic Framework)-based molecular sieve membrane have been developed for the selective detection of H2 in gas mixtures up to 300 °C [1]. Attractively, this concept is applicable to various sensors geometries which could be further functionalized with metal nanoparticles (e.g. palladium)by Atomic Layer Deposition [2] in order to confer the hydrogen sensors with additional sensitivity. The same approach has been applied using ALD of boron nitride as selective membrane for increasing both sensor sensitivity and stability [3]. Moreover and for the sake of enhancing the sensors humidity resistance, Molecular Layer Deposition (MLD) has been recently used to deposit a humidity-resistant nanomembranes on ZnO semiconductor nanowires [4].

[1] ACS applied materials & interfaces, 2016, 8, 8323−8328 & Sensors and Actuators B: Chemical, 2018, 264, 410-418

[2] ACS applied materials & interfaces,2018, 10, 34765-34773

[3] Journal of Materials Chemistry A, 2019, 7, 8107-8116

[4] Sensors and Actuators B: Chemical 2021, 344, 130302

AA-TuP-21 Schottky Diodes to Gallium Nitride Prepared by Plasma-Enhanced Atomic Layer Deposition
Suzanne Mohney, Ian Campbell, Alex Molina, Ama Agyapong, Michael Thomas (Penn State University)
Transition metal nitrides are attractive candidates for Schottky diodes and gate metallizations for group III nitride devices. Many of them are unreactive with gallium nitride and aluminum gallium nitride at elevated temperatures. In addition, some transition metal nitrides are already accepted in typical nanofabrication laboratories, and they can be integrated into processes where dry etching is preferred for patterning. However, transition metal nitride Schottky diodes on gallium nitride reported in the literature are typically prepared by sputter deposition, which can introduce defects in the semiconductor, resulting in high currents under reverse bias and ideality factors much greater than unity. We have fabricated Schottky diodes to n-type gallium nitride by plasma enhanced atomic layer deposition using a remote N2-H2 plasma in a Fiji Gen II reactor. Film composition was measured by x-ray photoelectron spectroscopy and energy-dispersive x- ray spectroscopy. When layers with the composition MoC0.3N0.7 were prepared usingbis(tertbutylimino)bis(dimethylamino)molybdenum, diodes to n-GaN had rectifying characteristics as deposited. After they were annealed at 600°C in N2, the Schottky barrier height increased to 0.87 ± 0.01 eV with an ideality factor of 1.02 ± 0.01. Since the barrier height was measured by the current-voltage technique, the ideality factor will always be slightly greater than unity due to image force lowering of the barrier height. X-ray diffraction and transmission electron microscopy revealed that these films were a single phase, which is beneficial for creating homogeneous diodes. More carbon-rich films with the composition MoC0.8N0.2 also displayed rectifying characteristics, but the films contained two phases, the ideality factor of the diodes was higher, and the barrier height was lower. We have also successfully prepared diodes with another precursor (molybdenum carbonyl) and will report the effect of precursor and deposition conditions on the performance of diodes in this presentation. The authors thank ONR for support through N000141812360 (Approved, DCN# 43-9072-22) and A. Allerman (Sandia National Laboratories) for gallium nitride epilayers.
AA-TuP-22 Development of High-k Gate Insulator Deposition Process for Next-Generation Thin Film Transistor Using Atomic Layer Deposition
Min Kyeong Nam, Aejin Lee, Dong Hee Han, Seungwoo Lee, Woojin Jeon (Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University)

Currently, thin film transistor (TFT) based on transparent oxide channel such as amorphous InGaZnO (a-IGZO) has being widely studied as switching devices for liquid crystal displays (LCD) and flexible active matrix organic light emitting diode (AM-OLED) displays.[1] To demonstrate high speed and high resolution display, operation speed and dimension of TFT should be enhanced. In this regard, a gate insulator application of the high dielectric constant (k) materials has been attracted a lot of attentions. Moreover, for deposition of the high-k materials, the atomic layer deposition (ALD) process, which allows relatively low process temperature with adequate thin film quality, is required.

In this study, ALD process of ZrO2 on IGZO as the gate insulator of TFT was developed using Tetrakis(ethylmethylamino) Zirconium (TEMAZr) and Cyclopentadienyl Tris(dimethylamino) Zirconium (Cp-Zr) as the Zr precursors. The thin film growth behavior and electrical properties of deposited ZrO2 were strongly affected to the difference in chemistry of TEMAZr and Cp-Zr. The crystallinity of the ZrO2 film and the formation of an interfacial layer were examined through x-ray diffraction, x-ray reflectometry, and x-ray photoelectron spectroscopy analysis. We demonstrated the possibility of developing a high-performance TFT device capable of low-voltage driving for next-generation displays by evaluating the TFT characteristics of the metal-insulator-semiconductor structure.

References [1]X Wang et al., Membranes, 11(2), 134 (2021). [2]YH Lin, JC Chou, J. Nanomater., 2014, (347858)5 (2014).
Acknowledgments This work was supported by by the Technology Innovation Program (No. 20016813 and 20017216) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea)the BK21 Plus program.
AA-TuP-23 Co-Coated Si X-Ray Optics With Atomic Layer Deposition
Yukine Tsuji, Aoto Fukushima, Daiki Ishi, Yuichiro Ezoe, Kumi Ishikawa, Masaki Numazawa, Tomoki Uchino, Sae Sakuda, Ayata Inagaki, Yoko Ueda, Hiromi Morishita, Luna Sekiguchi, Takatoshi Murakawa (Tokyo Metropolitan University); Kazuhisa Mitsuda (National Astronomical Observatory of Japan)

We have been developing an ultra-lightweight Wolter type-I X-ray optic using MEMS technologies for X-ray astronomical observations (Ezoe et al., 2010, Microsys.Tech.2010, 16, 1633) In this paper, we present our first Co atomic layer deposition (ALD) test on a test optic and evaluation of its X-ray reflectivity which is to our knowledge the first demonstration of the Co-coated X-ray optic with ALD.

The MEMS X-ray optic is made of 4-inch Si (111) wafers (300-500-micrometer thickness). The Si wafer is firstly etched to have micropores (20-micrometer width) by deep reactive ion etching, and sidewalls are used as X-ray reflective mirrors. Using high-temperature hydrogen annealing process, we then smooth the sidewalls. With chemical mechanical polishing process burr structures on edges of the sidewalls which block incidence of X-ray are removed. Finally, the wafer is plastic-deformed into a spherical shape to focus parallel X-ray beam from celestial objects. Two wafers deformed to different curvature radii are stacked to form a Wolter type-I optic.

Si is easy to be etched, but its X-ray reflectivity at large reflection angle and high energy becomes significantly lower than those of heavy metals such as Au, Pt and Ir. Therefore, we use ALD to coat heavy metals thin film to the sidewalls of the micropores. We have already demonstrated Ir and Pt film formation (Ogawa, et al., 2013, Applied Optiics, 52, 5949, Ishi, et al., 2020 Applied Express, 13, 087001). For our future space applications (Ezoe et al., 2018,J. Astron. Telescope, Instrum, 4,046001), we need to increase X-ray reflectivity around 1 keV. We thus tested to form a Co film with ALD, which has excellent reflection characteristics in this energy band.

First, we coated a Co film on the surface of a bare Si wafer as a test. Then, we evaluated the reflectivity of the Co-coated surface at the Al Kα characteristic X-ray (1.49 keV) using the 30 m beamline at JAXA and confirmed a significant improvement in reflectivity at large angles (>1 degrees). Furthermore, the roughness of the Co-coated surface was estimated to be about 1 nm rms by comparison with the theoretical reflectivity. This roughness satisfies the requirement in our future space mission (< 1 nm rms) and can be expected as a candidate for a new film deposition material. Similarly, Cu Lα (0.93 keV) and C Kα (0.28 keV) were also evaluated, and it was found that the surface roughness was 1-2 nm rms, which was close to the required performance. In the near future, we plan to deposit Co film on our micropore optical system and evaluate the performance.

AA-TuP-24 Optimization of High-Performance P-Channel Sno Thin Film Transistor Using Atomic Layer Deposition
Myeong Gil Chae, Jina Kim (Seoul National University of Science and Technology); Bo Keun Park, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT)); Seong Keun Kim (Korea Institute of Science and Technology (KIST)); Jeong Hwan Han (Seoul National University of Science and Technology)

Up to date, oxide semiconductor-based electronics are limited to unipolar devices consisting of n-type oxides owing to the challenges in achieving high-performance p-type oxide counterparts. P-type oxide semiconductors show inferior carrier transport characteristics than n-type oxide owing to localized oxygen orbitals with large hole effective mass at valence band maximum (VBM). Among p-type oxides, SnO regarded as a promising candidate with low defect formation energy of Sn vacancy (VSn) that produces hole carriers and delocalization of VBM by hybridization of Sn 5s and O 2p orbitals, leading to low hole effective mass and high hole mobility. Meanwhile, atomic layer deposition (ALD) allows precise control of thickness and composition based on self-limiting reaction and layer-by-layer growth by alternately injecting precursor and reactant. Therefore, in this work, we have introduced high quality SnO film as a channel layer of p-type TFT by ALD using Sn(dmamp)2 and H2O. The strategic probing of key parameters such as the deposition temperature and thickness of ALD SnO film for high performance TFTs resulted in the SnO TFT with field-effect mobility (μFE) of 6.13–7.24 cm2/V∙s and on/off current-ratio (Ion/Ioff) of 104−105 without post-annealing processing. Moreover, back-channel passivation with ALD Al2O3 film further improved the switching characteristics of the SnO TFT, exhibiting enhanced subthreshold swing (SS) of 3.18 V/dec.

AA-TuP-25 Intense Pulsed Light Annealing of Low-temperature Atomic-layer-deposited SnO Thin Films for P-channel Thin Film Transistor
Jina Kim, Myeong Gil Chae (Seoul National University of Science and Technology); Bo Keun Park, Taek-Mo Chung (Korea Research Institute of Chemical Technology (KRICT)); Jun Choi, Kwan Hyun Cho (Korea institute of industrial technology (KITECH)); Woongkyu Lee (Myongji University); Jeong Hwan Han (Seoul National University of Science and Technology)

SnO is promising p-type transparent semiconducting oxide known for excellent hole transport property. Its high p-type conductivity is driven by the unique valence band maximum structure composed of Sn 5s–O 2p hybridized orbitals and low formation energy of Sn vacancies generating hole carriers. Especially, atomic layer depositon (ALD) is a suitable process to grow SnO because the oxidation state and film thickness can be finely controlled through the self-limited surface reaction. However, crystalline SnO could be obtained only at >150 ℃ using ALD [1], which would hinder the application of ALD SnO to polymer-based plastic substrates. Therefore, it has been required to develop low-temperature post-crystallization technology to achieve crystallized SnO films without deformation of the flexible substrates. Intense pulsed light (IPL) annealing is considered as useful post-annealing tool with insignificant thermal impact.

Herein, amorphous SnO films were grown by ALD with Sn(dmamp)2 and H2O as the Sn precursor and reactant at a low temperature of 120 ℃. The IPL annealing was employed to successfully crystallize the SnO films with maintaining oxidation state of Sn2+, resulting in excellent hole transport characteristics. Amorphous SnO film was start to be crystallized at IPL pulse number of 200−300, and the crystallinity was increased with increasing the pulse number. The various properties such as crystallinity, surface morphology, and chemical states of SnO films were characterized by X-ray diffraction (XRD), raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), and X-ray photoelectron spectroscopy (XPS). The bottom-gate staggered-structured thin-film transistors (TFTs) were fabricated with Al2O3 passivation layer. With SnO films after 200 IPL pulses, remarkable p-type transistor property was achieved with field-effect mobility of 2.49 cm2/V·sec, subthreshold swing of 2.85 V/dec, on-off current ratio of 8.2×104.

Acknowledgments This Research has been supported by the KOREA RESEARCH INSTITUTE of CHEMICAL TECHNOLOGY (KRICT) and National R&D Program through the National Research Foundation of Korea (NRF) funded by Ministry of Science and ICT (NRF-2020M3H4A3082126)

References [1] J. H. Han et al., Chem. Mater., vol. 26, no. 21, pp. 6088−6091 (2014).

AA-TuP-26 Hafnium Zirconium Oxide-Based Ferroelectric Field Effect Transistor With Atomic-Layer-Deposited Indium Gallium Tin Oxide Channel Layer
Hyeonhui Jo, Jong Hyeon Won, Pil Ju Youn, Jina Kim, Hee won Jang, Wonho Jo, Jeong Hwan Han (Department of Materials Science and Engineering, Seoul National University of Science and Technology)

Ferroelectric field effect transistors (FeFET) have received increasing interest as synaptic devices because they can easily imitate the synaptic weights update behavior through analog conductance modulation of the channel layer. In particular, the conductance of the channel layer can be controlled by the multiple polarization states of the ferroelectric layer, which is determined according to the applied gate voltage pulse. Hafniumzirconium oxide (HZO) commonly used in the ferroelectric layer of FeFET has various advantages such as low deposition temperature, ALD capability, and good ferroelectric characteristics despite a thickness of ~10 nm. Also, indium gallium tin oxide (IGTO) is a promising material as the channel layer because it has high mobility despite the amorphous phase and minimizes the formation of the interfacial layer between IGTO and HZO, which induces high operating speed and low power consumption. Moreover, the field effect mobility of IGTO can be improved by increasing In and Sn compositions because both the In-5s and Sn-5s orbitals form the main channel of the electron conduction.

In this work, ferroelectric HZO layer was deposited by ALD at 300 oC using CpHf(NMe2)3 and CpZr(NMe2)3 as Hf and Zr precursor, respectively. ALD IGTO channel was deposited at 200 oC using 3-(dimethylamino)propyl]dimethyl-Indium (DADI), dimethyl(N-ethoxy-2,2-dimethylcarboxylicpropanamide)gallium (Me2Ga(edpa)), and tetrakis(dimethylamido)tin (TDMASn). The growth characteristics of ternary HZO and quaternary IGTO films were examined and film properties were analyzed using XPS, XRD, and SEM. FeFET devices were fabricated using HZO and IGTO films as the ferroelectric layer and channel layer and the synaptic characteristics of FeFET devices were investigated by conductance modulation behavior.

Acknowledgments: This research has been performed as a cooperation project of “Basic project(referring to projects performed with the budget directly contributed by the Government to achieve the purposes of establishment of Government-funded research Institutes)” and supported by the KOREA RESEARCH INSTITUTE of CHEMICAL TECHNOLOGY (KRICT) and by National R&D Program through the National Research Foundation of Korea(NRF) funded by Ministry of Science and ICT(NRF-2020M3H4A3082126).

AA-TuP-27 Interface and Electrolyte Design to Enable Stable Zn Metal Anode for Aqueous Zn-ion Batteries
Jian Liu (University of British Columbia)

Rechargeable aqueous zinc-ion batteries (ZIBs) have attracted increasing attention as energy storage technology for large-scale applications because of low cost, inherent high safety, and high capacity of Zn metal (820 mAh g-1 and 5854 Ah L-1). However, the poor rechargeability of Zn anodes limits their development, which is attributed to the dendrite growth, passivation, and hydrogen evolution issues in the zinc anode. This talk will introduce recent progress in addressing these problems associated with zinc anodes by using nanoscale interface engineering and developing novel electrolytes to enable durable and high-performance ZIBs [1]. First, a nanoscale Al2O3 coating by atomic layer deposition was applied to modify the zinc-electrolyte interface [2]. The Al2O3 coating effectively suppressed the zinc dendrite formation, improved wettability, and inhibited zinc corrosion. As a result, the surface-coated Zn has been verified in Zn-MnO2 batteries using layered δ-MnO2 as the cathodes and consequently superior electrochemical performance with a high capacity retention of 89.4% after over 1000 cycles. In the second part, the performance of zinc metal was further improved by using a hybrid organic-inorganic coating (alucone). With the optimal coating thickness (12 nm), an over 11-fold enhancement in the running lifetime (780 vs. 70 h) and a reduced overpotential (84.3 vs. 110.3 mV) were achieved compared to bare Zn at a current density of 3 mA cm-2 [3]. Thirdly, an acetonitrile/water-in-salt (AWIS) hybrid electrolyte was developed and found to prolong the lifespan of Zn|Zn cells from 150 to 2,500 h [3]. More importantly, the AWIS hybrid electrolyte increased the upper cut-off voltage of Zn-MnO2 batteries from 1.8 to 2.2 V, leading to enhanced energy and power densities. This series of work will provide insights into the design and development of high-performance ZIBs for grid applications.

References

[1] H. He, H. Qin, J. Wu, X. Chen, R. Huang, F. Shen, Z. Wu, G. Chen, S. Yin, J. Liu. Energy Storage Mater., 2021, 43, 317.

[2] H. He, H. Tong, X. Song, X. Song, J. Liu. J. Mater. Chem. A, 2020, 8, 7836.

[3] H. He, J. Liu. J. Mater. Chem. A, 2020, 8, 22100.

[3] X. Song, H.He, M. H. Aboonasr Shiraz, H. Zhu, A. Khosrozadeh, J. Liu. Chem. Commun., 2021, 57, 1246.

AA-TuP-28 ALD-Coated Mesoporous Films for Electrocatalysis
Nicola Pinna, Muhammad Hamid Raza (Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin); Marvin Frisch, Ralph Krähnert (Department of Chemistry, Technische Universität Berlin)

Water splitting electrocatalysts are in need because of the growing demand for renewable energy and simultaneous depletion of fossil fuels. There are different types of catalytically active oxides used as electrodes for the electrochemical water splitting, both in acidic and alkaline conditions. The catalytic performance of those materials strongly depends on surface composition and morphology. It is possible to increase the catalytic efficiency of such electrodes by increasing the number of active surface sites. Here we report the synthesis of novel electrode with template-controlled mesoporosity and their modification with highly active catalytic species. The improved utilization of active species relies on the synthesis of soft-templated metal oxide supports, and a subsequent well-controlled and conformal modification via atomic layer deposition (ALD). The composition, morphology, and crystallinity of the deposited film were controlled by varying different deposition parameters. The structural and morphological properties, as well as the mass activity and stability in the electrocatalytic oxygen evolution reaction were investigated in the order to propose clear structure-properties correlations.

AA-TuP-29 Nanoscale Energy Transport Processes in Chalcogenide-Based Phase Change Materials
Kiumars Aryana, Patrick E. Hopkins (University of Virginia)
Modern computing relies on the processing of information by constantly shuttling the data back and forth between the storage and the processing units1. This computing architecture, known as von Neumann, leads to huge traffic jams between the memory and processor, incurring considerable costs in terms of latency and energy. Phase change memory (PCM) is a rapidly growing technology that not only offers advancements in storage-class memories but also enables in-memory data processing to overcome the von Neumann bottleneck. In PCMs, data storage is driven by thermal excitation. However, there is limited research regarding PCM thermal properties at length scales close to the memory cell dimensions. Our work uses the knowledge of carrier dynamics to experimentally identify an optimal thickness for the phase change material based on a balance of thermal conductivity and crystallographic-phase-dependent interfacial thermal resistance in order to improve memory device performance. We present evidence of ballistic transport of energy carriers as the characteristic length of the device is decreased to less than the mean free paths of the electrodes carriers. In addition, we investigate the thermal properties of Ge2Sb2Se4Te, one of the most promising material candidates for integrated photonics, and show that upon substituting tellurium with selenium, the thermal transport transitions from an electron dominated to a phonon dominated regime. By implementing an ultrafast mid-infrared pump-probe spectroscopy technique that allows for direct monitoring of electronic and vibrational energy carrier lifetimes in these materials, we find that this reduction in thermal conductivity is a result of a drastic change in electronic lifetimes of Ge2Sb2Se4Te, leading to a transition from an electron-dominated to a phonon-dominated thermal transport mechanism upon selenium substitution.
AA-TuP-30 Comparison of the Insulating Properties of Aluminum Oxide (Al2O3) High-K Layers at the Early Growth Stages of by Thermal- and Plasma-Enhanced Atomic Layer Deposition on AlGaN/GaN Heterostructures
Raffaella Lo Nigro, Emanuela Schilirò, Patrick Fiorenza, Giuseppe Greco, Filippo Giannazzo, Fabrizio Roccaforte (CNR-IMM)
A comparative study of the early growth stages of aluminium oxide (Al2O3) thin dielectric layers deposited by thermal and plasma-enhanced atomic layer deposition (i.e. T-ALD and PE-ALD) methods on AlGaN/GaN heterostructures is presented and two different nucleation processes have been identified. Investigation has been carried out at nanoscale by morphological and leakage current maps, which showed that the Al2O3 thin films deposited by the PE- ALD possess excellent insulating properties, conformal interface with AlGaN/GaN substrate, low oxide trap charges and good dielectric permittivity of k≈8. By contrast, a not conformal and uniform insulating behaviour has been recorded on T-ALD Al2O3 films. The different insulating behaviour and its evolution upon increasing the film thickness is indication that two different growth mechanisms are running and chemical characterization by X-ray Photoelectron Spectroscopy provided evidence that the PE-ALD process occurs under an ideal layer-by-layer growth because of the efficiency the O2-plasma agent which acts directly on the Al precursor. The T-ALD process by contrast, shows a behaviour similar to the island growth model because of the formation of undesirable Al-N-O bonds. The origin of interface defects, whose limitation is crucial for the correct operation of the device, has been discovered and studied, as well as, the proper deposition process has been defined.
AA-TuP-31 Amorphous ALD Alumina On a Quartz Plate Enables Significant Cost of Ownership (CoO) Reduction in Metal Plasma Etch Chambers
Jeff Young (Intel Corporation); Gustavo Mata-Osoro, Philip Spring (INFICON Ltd., Liechtenstein); John Delle Donne (Ultra Clean Technologies (UCT)); Russell Parise (Ultra Clean Technologies); Vasudev Venkatesan (Ultra Clean Technologies (UCT))

Crystalline Al2O3 by-product and trace metals deposited on quartz parts in metal etch chambers are a problem. They peel off and cause particle problems leading to unscheduled downtime of chambers. It is therefore important to remove these by-products and trace metals from the quartz parts on a regular basis during preventive maintenance (PM). However, stripping crystalline Al2O3 (and trace metals) from quartz without attacking the quartz substrate is a challenge. In order to address this problem, these quartz parts with a specified surface roughness were coated with 200 nm of amorphous ALD Al2O3 films. After processing in chamber, these coated parts with by-products were taken out during PM. They were grit blasted to loosen the surface, a proprietary chemical strip developed to remove the ALD Al2O3 film and by-product deposition. Quartz substrate showed no degradation as characterized using XRF, particle level and roughness measurements. This process is now qualified, and the recycled quartz parts provide > 75% reduction in Cost of Ownership (CoO) compared to that of new parts.

Fig.1 Shows a TEM cross-section of the stack on top of the quartz plate used in the metal etch chamber after etch residue by-product deposition.

Fig.2 Depicts the process flow for recycling the quartz plate.

Fig.3 Shows the XRF characterization of post-strip and clean surface showing no trace of coating and by-products.

See attached document for the figures.

View Supplemental Document (pdf)
AA-TuP-32 Application of Powder Atomic Layer Deposition to Solid Oxide Fuel Cell Electrodes
Sung Eun Jo, Hyong June Kim, Byung Chan Yang, Jihwan An (Seoul National University of Science and Technology)

Atomic layer deposition(ALD) can uniformly coat conformal films on complicated structures with atomic-level thickness controllability. ALD is being widely used in the semiconductor industry but is also showing high potential as an effective technique for upgrading electrochemical energy devices’ performance and durability by precisely and conformally overcoating their components. For example, the electrodes of solid oxide fuel cells (SOFCs) usually adopt nano-porous and complex structures for fast electrochemical kinetics; to fabricate such porous structures, SOFC electrodes are fabricated by sintering powder materials. Lanthanum strontium cobalt ferrite (LSCF) powder, for instance, is a popular material for SOFC cathode. In LSCF-based electrodes, strontium in perovskite material segregates at elevated temperature (e.g., the operating temperature range of >600C for SOFCs). Exsolution of Sr forms SrOx at the surface of the cathode, which diminishes ORR activity and escalates polarization resistance by blocking active sites at electrodes' surface. Therefore, the conformal and ultra-thin protective coating of LSCF powders is crucial for the stable operation of SOFC electrodes at elevated temperatures.

In this study, we report on the use of powder ALD process to conformally coat LSCF powders for SOFC cathodes. Bare LSCF powders are ball-milled, situated in the rotary module assembled with the ALD station, and are coated with ALD ZrO2. As powders have an extremely high area-to-volume ratio, static exposure mode for precursor infiltration between nanoscale air gap. In the electrochemical test, the cells with bare LSCF cathodes (no ALD), LSCF cathodes with conventional ALD (C-ALD), and with powder ALD (P-ALD)-coated ZrO2 overcoats are compared. Powder ALD treated cell effectively suppress Sr ex-solution in the entire location of the electrode, and eventually result in the highest maximum power density among cells.

AA-TuP-33 Evaluation of Temporal vs. Spatial Atomic Layer Deposition Techniques for the Production of Ceramic Nanofiltration Membranes
Julia Peper (University of Twente, Netherlands); Hardik Jain (TNO/Holst Cent); Michiel Nijboer, Arian Nijmeijer, Fred Roozeboom, Alexey Kovalgin, Mieke Luiten-Olieman (University of Twente, Netherlands)
Today’s bulk chemical separation and purification is mainly driven by thermal processes like distillation, drying and evaporation. Examples are in hydrocarbon separation from crude oil feedstocks, surface and wastewater purification and desalination. These processes account for 10–15 % of the global energy consumption [1].
As an inherent non-thermal process, membrane-based separation can cause a paradigm shift in worldwide energy consumption since this alternative requires 2 up to 10 times less energy, and will offset carbon emissions correspondingly [1]. However, the challenges in manufacturing large-scale membrane systems are manifold. Bulk wastewater streams can contain solvents that make polymeric membranes unsuitable due to the destructive solvent-membrane interactions. In addition, the production of ceramic membranes for nanofiltration applications with pore sizes typically ranging from ~1 to a few nm is challenging [2,3]. Therefore, new methods for upscalable production of robust ceramic nanofiltration membranes are required.
The potential of applying Atomic Layer Deposition (ALD) in order to narrow down ceramic membrane pores to the nanofiltration range is studied. The aim was to get a better understanding of ALD on 3D porous substrates compared to the state-of-the-art process on planar silicon wafers. Experiments were performed to tune the most important process parameters using different types of ALD reactors – temporal (PICOSUN® R200) and spatial [4]. The advantage of temporal ALD in the production of ceramic membranes is that process recipes proved to be easily tunable, whereas the spatial ALD benefited from high throughput.
A decrease in pore size of γ-alumina membranes was observed for recipes with an increasing number of s- and t-ALD cycles; from 2.5 nm to <0.5 nm within 25 ALD cycles. We also observed a higher growth rate for porous γ-alumina substrates as compared to the reference silicon wafers (GPC 0.14 vs 0.10 nm/cycle).

This study showed the potential of ALD for the production of ceramic nanofiltration membranes by narrowing ceramic ultrafiltration membranes. Furthermore, this exploratory work provides a starting point for further research into the method and showed the importance of directly characterizing the porous substrates. New ALD process recipes specifically aimed at porous substrates can lead to further improvement of the method.

[1] Nature, 532, pp. 435–437 (2016)
[2] Membrane Technology, 2003(11), pp. 5–8 (2003)
[3] Separation and Purification Technology, 219, pp. 159–168 (2019)
[4] Advanced Materials, 22(32), 3564-3567 (2010)
View Supplemental Document (pdf)
AA-TuP-34 Robust YF3 Batch ALD Process With a Novel Precursor for Plasma Etch Tool Component Protection
Jesse Kalliomäki, Emmi Manninen, Jahra Mariam, Peter King, Riina Ritasalo (Picosun Oy)

Atomic layer deposited corrosion barrier solutions have been of high interest lately in the semiconductor industry. The main driver for this has been the need to protect plasma etching tools used in several manufacturing steps from self-damage and contamination. Traditionally, thick ceramic coatings applied with spray coating have been used but these techniques suffer from low conformality, which makes it unable to protect parts with complex geometries like showerheads. [1]

The most sought-after materials, like Y2O3 and YF3 are very etch resistant [2], but are difficult to scale to large ALD tools, while retaining good conformality and repeatability [3]. YF3 especially has been problematic from a tool maintenance and health & safety perspective as available processes involves HF as a reaction by-product.

We present process development and scale up results from a new precursor (Y-Beta’, Air Liquide), which can produce pure YF3 films using O3 as co-reactant. The process is scaled up to Picosun P-1000 class tool with maximum usable chamber volume 0.2 m3, which can be used to deposit several full-sized showerheads. In wafer batch tool (P-300B) the process can reach a GPC of ~0.6 Å and cycle time <5 s. With 20 s cycle time a Chip-2-Chip uniformity of 3% can be achieved (statistics extracted from mapping spectroscopic ellipsometry measurements). The compositional purity of the resulting films were determined with ToF-ERDA along with structural characterization by XRD.

The general ALD process portfolio has been wanting for a simple, convenient, and robust alternative for YF3 deposition in addition of the two existing ones [4-5]. This new precursor answers directly to this need by allowing the YF3 to be deposited in industrial scale without HF.

[1] Shih (2012), ISBN: 978-953-51-0467-4

[2] Kim et al. (2011), doi:10.1111/j.1551-2916.2011.04589.x

[3] Abdulagatov et al. (2019), doi:10.1134/S1063739719010025

[4] Wallas et al. (2018), AVS 65th International Symposium & Exhibition

[5] Pilvi et al. (2008), doi:10.1002/cvde.200806721

AA-TuP-37 Defect Engineering in Corrosion Protected Semiconductor Photoanodes by Atomic Layer Deposition of Titania
Oliver Bienek, Benedikt Fuchs, Matthias Kuhl, David Silva (Walter Schottky Institut and Physics Department, Technische Universität München); Tim Rieth (Walter Schottky Institut and Physics Department, Technische Universität München, Germany); Alex Henning, Ian D. Sharp (Walter Schottky Institut and Physics Department, Technische Universität München)
Protection layers are important for enabling stable and efficient photoelectrochemical energy conversion, especially for photoanodes operating under highly oxidizing conditions. Although TiO2 protection layers have been intensively investigated, there are conflicting interpretations regarding the mechanism of charge transport through the films, with some studies indicating hole transport through a defect band in "leaky" titania (TiOx) and other studies suggesting electron injection from the electrolyte into the conduction band followed by recombination at the Si/TiOx interface. To shed light on the interfacial transport pathway, as well as elucidate the role of interfacial insulating oxide between absorber and protection layer, we have systematically investigated the effect of ALD process parameters on interfacial charge injection and photoelectrochemical function. In this context, six different ALD processes were established using two Ti precursors, TDMAT and TTIP, both in thermal (H2O) and two plasma-enhanced (OP) processes, wherein oxygen plasmas of 300 W and 5 W power are applied. To investigate the defect properties of the TiOx films, optical absorption coefficients were determined using photothermal deflection spectroscopy. The obtained absorption spectra vary over three orders of magnitude in the sub-bandgap region, implying a significant variation of the mid-gap defect concentrations in these films. TiOx deposited using H2O as oxidant yields substantially higher sub-bandgap absorption compared to PE-ALD films. XPS measurements not only deliver a direct proof of these mid-gap defects but also reveal a significant amount of Ti3+ states for the most defective layer, which suggests that oxygen vacancies are the dominant defect type. Photoelectrochemical characteristics of Si-based electrodes with TiOx protective coatings, onto which Ni oxygen evolution reaction catalysts have been applied, were determined in 1 M KOH under 1 Sun illumination. While the Ni/TiOx/Si photoanodes formed using both H2O and 5 W oxygen plasma as the oxidant feature relatively low onset potentials and light-saturated photocurrent densities, the samples made from 300 W oxygen plasma processes have large onset potentials and shallow slopes indicative of a high series resistance due to oxidation of the Si substrate, as determined by in situ spectroscopic ellipsometry. Among the other samples, which possess comparably thin silica interlayers, we find that the photoelectrochemical onset potentials are correlated with the mid-gap defect concentrations within the ALD layers, providing a route towards rational defect engineering of protective photoelectrode coatings.
AA-TuP-38 Film Characteristics of Lanthanide Oxide Thin Film by Using Atomic Layer Deposition Method
Suin Kim, Moo-Sung Kim (Merck KGaA); Sergei Ivanov (Merck KGaA, Darmstadt, Germany)

Lanthanide oxide films possess a wide variety of functional properties. In particular, using them as insulators in MIM structures offers a number of advantages over silicon dioxide. Lanthanide oxide based thin films can be used as gas sensors or hard mask. They are potentially attractive materials for the fabrication of multi layer optical coatings, beam splitters, passive components of integrated circuits, and heat based laser recording devices. Among them, terbium oxide (Tb2O3) and gadolinium oxide (Gd2O3) have proven to be promising materials for conventional silicon dioxide replacement in nano device applications. It has a relatively high dielectric constant (14 ~ 20) and a large band gap. Our research examines the electrical and physical characteristics of TbOx and GdOx thin films by atomic layer deposition (ALD) with as-deposited and post rapid thermal annealing (RTP) to improve dielectric characteristics and optimize performance for potential application in nano devices.

Here, we report thermal ALD of TbOx and GdOx thin films using high purity Tris(iso-propylcyclopentadienyl) terbium(III) (Tb(iPrCp)3) and Tris(iso-propylcyclopentadienyl)gadolinium(III) (Gd(iPrCp)3). Deposition of both films was investigated by thermal ALD process with ozone reactant at 150-350 oC on Si and TiN substrates. After film deposition, RTP was conducted to observe post annealing effects. ALD thermal window, and the film properties were very similar to the two films. In both films, amorphous phase with no XRD peak was observed at 200 oC samples, but crystallization peak was observed above 250 oC. The film density of GdOx film was ~ 15% higher than the TbOx film. XPS results showed that carbon is detected in 200 oC samples, but it is not detected at temperatures above that. Both samples showed a good step coverage of more than 90% at 200°C, but step coverage was deteriorated rapidly in samples of more than 250°C. Dielectric constant was measured using TiN/TbOx or GdOx/TiN (MIM) structures.

In conclusion, we conducted atomic layer deposition of TbOx and GdOx films and analyzed those properties. Both processes showed thermal ALD at 200 oC withgood step coverage. The films are expected to be used in applications such as high-k gate insulators or hard mask for complex pattern.
AA-TuP-39 Advanced 3D Mxene ALD Assembly Through Precious Metal Conformal Coating for Clean Energy Applications
Debananda Mohapatra, Youn-Hye Kim, Yejin Park, Soo-Hyun Kim (Yeungnam University)
MXenes are a large family of two-dimensional (2D) transition metal carbides, nitrides, and carbonitrides, with similar and better overall physicochemical properties than one of the most studied 2D graphene. Notably, its electronic and electrochemical properties are massively affected by how these MXene materials are synthesized and processed wet chemically. To eliminate one of the pressing face-to-face staking issues of these MXenes, we try to introduce an atomic layer deposition (ALD) technique to introduce the precious metals conformally. Precious metal like iridium is quite rare and expensive; hence, precise quantity and quality control are desired. These ALD processed precious metals could be fascinating to explore their electrochemical activity along with the novel engineered MXene host material. We also discuss another exciting fullerene family of carbon materials such as carbon nano-onions (CNO), which are multi-shelled cage-like graphitic structures at an intergraphitic distance of 0.34 nm, mimicking onion morphology is excellent host materials for precious metals. Additionally, we will introduce the role of precious metals such as Ir, Pt, Ru ALD induced electrochemical behavior. The novel proposed MXene-ALD noble metal nanostructures could be potential electrocatalysts for HER/OER applications.
AA-TuP-40 Toward a Rational Surface Texture Designof FBR-ALD Pt/CCatalyst to Enhance PEMFC Performance
Ji-Hu Baek, Se-Hun Kwon, Sung Lee (Pusan National University)

Proton exchange membrane fuel cell (PEMFC) is an efficient electrochemical energy conversion device that directly generates electricity from the chemical energy of fuels without the emission of greenhouse gases. The most reliable catalyst in PEMFC is Platinum (Pt) metal nanoparticles (NPs) that exhibit excellent electrochemical activity and stability compared to other catalysts. However, using of Pt catalyst is limited due to its very high cost and low abundance on Earth. Therefore, it is important to use Pt catalyst efficiently for making the PEMFC economically viable. In this regard, several synthesis techniques have been developed to reduce the loading and uniform distribution of Pt NPs on carbon support with high electrochemically active surface area (ECSA). One of the most efficient techniques to uniformly deposit Pt NPs with a controllable size on carbon support is to use a fluidized bed reactor (FBR) atomic layer deposition (ALD). Our group recently demonstrated that FBR-ALD Pt/C catalysts can exhibit high fuel cell performance and high endurance even with low Pt NPs loading by optimizing the surface of carbon supports combined with proper ALD process parameters [1]. However, it is still challenging to further improve the fuel cell performance by rational designing the Pt NPs surfaces in order to make FBR-ALD into a viable commercial production.

In this study, a unique way to improve the fuel cell performance was suggested to design and optimize atomic scale surface textures of Pt NPs. During the FBR-ALD of Pt NPs, in-situ surface modulation of Pt NPs were applied via a proper protective oxide deposition and etching. A careful surface studies was performed to analyze the surface morphology, distribution and uniformity of Pt NPs. Electrochemical performances were evaluated and optimized by measuring cyclic voltammetry (CV) and oxygen reduction reaction (ORR). Finally, a fuel cell performance was studied through membrane electrode assembly (MEA) characteristics.

View Supplemental Document (pdf)
AA-TuP-41 Plasma Enhanced Atomic Layer Deposition (PEALD) of Silicon Nitride for FEOL Applications
Marco Lisker, Mamathamba Kalishettyhalli Mahadevaiah (IHP Frankfurt (Oder))

Silicon nitride (SiN) is commonly used for spacer formation for various gate CMOS-architectures and advanced 3D-RF-devices as heterojunction bipolar transistors as wellas a masking layer in high selective wet etching steps in FEOL processes. Standard processes for SiN like low pressure chemical vapor deposition (LPCVD) results in high quality layers but at the cost of a very high thermal budget. Plasma enhanced chemical vapor deposition (PECVD) results in high quality layers as well but comes with a disadvantage of poor step coverage. The deposition temperatures of PECVD SiN can be much lower compared to LPCVD SiN process which results in lower thermal budget. However, PECVD process leads to plasma damage in the underlying device structures due to the ion bombardment during the deposition step using high RF power. As an appropriate alternative the PEALD process make use of several advantages as lower deposition temperatures, uniformity and conformality of the deposited layers and good step coverage. Hence, in this work we investigate the deposition of SiN using PEALD process and the possibility of the process competing with industry standard LPCVD and PECVD SiN processes.

The deposition process of PEALD SiN is carried out using a high vapor pressure precursor Trisilylamine (TSA). The PEALD deposition parameters which could be varied are identified and the design of experiments (DOE) is systematically carried out by varying only one parameter at a time. The process parameters such as deposition temperature, plasma exposure time, precursor feed time, RF power etc. are among the many other parameters which are used for DOE. Further, the SiN deposition process is investigated by using nitrogen (N2) or ammonia (NH3) as the reactant gases. The quality of the deposited SiN layers is investigated in terms of the layer uniformity, refractive index, step coverage, conformality, chemical composition of the layers etc. through various metrology techniques. Additionally, the etch rates of the deposited SiN layers are tested in liquid etchants like hydrofluoric acid and hot phosphoric acid to evaluate the potential of the PEALD SiN layers as high selective wet etch mask.

AA-TuP-44 H2 Separative Membrane Fabricated by Thermal Atomic Layer Deposition
Clémence Badie (Aix-Marseille University); Martin Drobek (CNRS- Univ. Montpellier); Mikhael Bechelany (CNRS/Univ. Montpellier); Jean-Manuel Decams (Annealsys); Lionel Santinacci (Aix-Marseille University)

To provide affordable H2 with high purity for energy applications, new strategies as H2-selective membranes gained in interest. This technology presents valuable benefits as it is cost-effective and have a reasonable environmental impact. In addition, a large variety of materials is available, thus, the composition of the membrane can be adapted to the working conditions. This study focuses on the fabrication of dense membranes able to operate at the high temperatures required in industrial processes (400-800°C). The specificity of the present approach is to fabricate new nanocomposite devices that combine the stability of a ceramic matrix, titanium nitride, with the catalytic effect of palladium nanoparticles used as nanofillers. Both TiN films and Pd nanoparticles are deposited onto a hetero-porous alumina tubular membranes by thermal Atomic Layer Deposition (ALD). The composite membrane is optimized to reach the highest H2-selectivity (purity) and permeation (flow), by finely tuning the thickness and the chemical composition of the TiN/Pd coating. ALD is an original technique in this field, but it is well adapted because it allows for coating nanostructured substrates with an excellent control of the thickness and composition.

The fabrication of the membrane follows two steps. First, the TiN deposit fills the alumina porosity. The coating forms a dense layer on the nanoporosity at the inner part and penetrates into the macroporosity of the outer part, covering the alumina grains. Afterwards, the Pd nanoparticles are deposited. The selectivity and the permeation of the TiN-Pd membrane are assessed using H2, He and N2. The increase of the temperature up to 400°C allows for achieving an encouraging H2 ideal selectivity and permeance of 35 and 258 GPU (Gas Per Unit), respectively. Moreover, these values increase during the measurement: the selectivity and the permeance rises up to 109 and 718 GPU, respectively, after 4.5 h at 400°C. These performances are promising as a similar pure Pd membrane presents an ideal selectivity of 23 and a permeance of 991 GPU at 188°C [1]. The investigation of the thermal evolution and the stability of the present membrane is then required. Complementary results will therefore be presented.

[1] Weber et al., Hydrogen selective palladium-alumina composite membranes prepared by Atomic Layer Deposition, J. Membr. Sci. 2020, 596, 117701.

View Supplemental Document (pdf)
AA-TuP-46 Synthesis of Noble Metal Nanoparticles by ALD for Electrocatalysis
Sitaramanjaneya Mouli Thalluri, Raul Zazpe, Hanna Sopha, Jan Macak (University of Pardubice)
Platinum group metals such as Pt, Ru, Pd, Ir, etc., have superior performance in various catalytic applications.[1] Due to their scarcity efforts were being made to reduce or replace these noble metals. Atomic Layer Deposition (ALD) is one among the best technique that is available to reduce the metal loading of noble metals.[2],[3] ALD is employed to develop continuous thin films of thickness down to single atom. Due to the governing surface energies variations for noble metals with respect to substrate surface, the growth initiates as nanoparticles (NP) and with a further increase in ALD cycles the agglomeration among NP’s dominates over the individual NP size increase, thus developing thin films.
For electrocatalytic applications, it is important to choose the right substrates. Among available substrates, Carbon papers (CP) and Titania nanotube (TNT) layers are best choices considering their properties, availability, vast literature and low costs incurred using these as support substrates in electrocatalysis and photocatalysis. Several surface modifications for CP’s and variations on morphological aspects of TNT layers had received a great attention form applied fields due to their improved surface area, conductivity and stability.[4],[5][6] Anodic oxidation of titanium foils using relevant electrolytes is one of the appropriate method to fabricate TNT layers.[7] Uniformly decorating these CP’s and TNT layers by nanoparticles or thin films of catalysts proved to be highly efficient with no boundaries on applications.[8]
ALD is the most suitable technology that can decorate high aspect ratio and high surface area nano architectures.[9]
The presentation will introduce and describe the synthesis of different noble metals by our ALD tool (Beneq TFS 200) on various aspect ratio TNT layers and CP substrates. It will also include the corresponding physical and electrochemical characterization and encouraging results obtained in electrocatalysis.
References:
1.Huang, Z. F. et al. Advanced Energy Materials vol. 7 (2017) 1700544.
2.Yoo, J. E. et al. Electrochem. commun. 86, (2018) 6.
3.Anitha, V. C. et al. J. Catal. 365, (2018) 86.
4.Sopha, H. et al. Appl. Mater. Today 9, (2017) 104.
5.Macak, J. M., Zlamal, M., Krysa, J. & Schmuki, P. Small 3, (2007) 300.
6.Liu, C., Sun, C., Gao, Y., Lan, W. & Chen, S. 6, (1915).
7.Macak, J. M. et al. Curr. Opin. Solid State Mater. Sci. 11, (2007) 3.
8.Dvorak, F. et al. Appl. Mater. Today 14, (2019) 1.
9.Zazpe, R. et al. Langmuir 32, (2016) 10551.
AA-TuP-47 Protection of Platinum Electrocatalysts for Water Electrolysis Using Atomic Layer Deposited Silicon Dioxide
Ming Li, Ruud Kortlever, Ruud van Ommen (Delft University of Technology)

Hydrogen is an important building block for the energy transition because it is a clean renewable energy carrier and an important feedstock for the chemical industry[1]. Among the various methods of producing hydrogen, water electrolysis using electricity from renewable sources such as wind and solar will become a main pathway in the coming years. Platinum is one of the most effective electrocatalysts for water reduction to hydrogen and hydrogen oxidation and is widely used in the PEM electrolyzers and fuel cells. However, its high costs and scarcity limit its application. To use platinum-based electrocatalysis in a cost-effective manner, it is crucial to have a method to protect the platinum catalyst from degradation and prolong its lifetime.

Here, we have applied an atomic layer deposited SiO2 coating on the surface of platinum electrocatalysts to improve its durability. TEM, XPS, SEM-EDS mapping were used to characterize the catalyst. Linear sweep voltammetry (LSV), cyclic voltammetry (CV), and accelerated durability tests were applied to study the stability of the catalyst. We found that after 1000 cycles of CV scans in the water reduction potential range, the current density at -0.2 V vs. reversible hydrogen electrode (RHE) of the original catalyst was reduced by 34%. By contrast, after applying 2 cycles of ALD SiO2 deposition, the current density at the same voltage was reduced by 7.3% after the same test procedure. After applying 5 cycles of ALD SiO2 deposition, the catalyst current density was only reduced by 1.9% after the accelerated durability test. The particle size of the original catalyst increased by 16% after 1000 cycles CV scans, whereas it only increased by 1.6% after 1000 cycles scans protected by 5 cycles of ALD SiO2 deposition.

  1. Cheng, N., et al., Platinum single-atom and cluster catalysis of the hydrogen evolution reaction. Nat Commun, 2016. 7: p. 13638
AA-TuP-50 Vapor Phase Infiltration (VPI) on Polymers Fibers and Fabrics for Multifunctional and Antimicrobial Textile
Natalia Chamorro , Mato Knez (CIC nanoGUNE, Spain)
For functional textile the relevant industry is seeking materials with a wide range of exciting new applications such as self-cleaning, antibacterial, anti-odor, etc., ideally at the same time. One of the very promising strategies to implement such properties into textile is the application of vapor phase chemistry to the manufactured textile.
In this work, we present our strategy to functionalize textiles with a mixed composition, namely denim jeans (mix of cotton and polyethylene fibers), with TiO2 and ZnO by applying vapor phase infiltration (VPI). The resulting modified textile becomes largely waterproof, antimicrobial properties and can block UV light. Interestingly, the pristine material is hydrophilic and absorbs water rapidly. However, after treatment with TiO2 the wetting properties change to hydrophobic, which is seen in the increase of the water contact angle. We assign the evolving hydrophobicity to the wetting behavior of the rough surface in the textile. This property can be adjusted by controlling some processing parameters, such as the number of VPI cycles or the exposure time of the textile to the metalorganic precursor. Furthermore, the incorporation of TiO2 into the textile as infiltrating and coating inhibits the attachment of both gram-positive bacteria (Staphylococcus aureus) and gram-negative bacteria (Escherichia coli). These results suggest that the increment in roughness and the evolving hydrophobicity have a considerable effect on the binding of bacteria to the textile fibers. In addition, an improvement in the UV blocking capacity of the textiles was observed. In this case the material shows the ability to absorb in the UV region from 280 to 400 nm.
The application of VPI to textile can introduce new features to the materials which can synergistically act and contribute to the development of functional textiles.
AA-TuP-53 Fabrication of High-Quality Titanium Nitride Thin Film as a Cu Diffusion Barrier Layer by Hollow Cathode Plasma Atomic Layer Deposition at Low Temperature
Ha Young Lee, Jeong Hwan Han, Byung Joon Choi (Seoul National University of Science and Technology)

Use of the Cu interconnects in CMOS-based demands advancement of diffusion barrier layers which prevent Cu diffusion into the Si based transistors at the elevated temperature.Titanium nitride (TiN) is one of good candidate for Cu diffusion barrier material among the transition metal nitrides due to its high thermal stability and low electrical resistivity. TiN thin film is usually grown by physical vapor deposition (PVD), and chemical vapor deposition (CVD). As scale down of the feature size, TiN barrier layers are required to be thin and conformal. In this regard, the territory of atomic layer deposition (ALD) can be expanded to achieve high step coverage with high quality. Introducing plasma source to ALD equipment, it is possible to fabricate higher quality thin film at a lower process temperature.Hollow cathode plasma (HCP) source is the latest plasma source to alternate inductively coupled plasma (ICP), capacitively coupled plasma (CCP), and microwave plasma (MP). HCP have the advantages of low plasma damage, high radical and electron density, leading to faster growth rates, improved crystallinity, and scalability.

In this study, TiN thin film was grown by HCP-ALD (HCP source by Meaglow, US and ALD by CN-1, Korea). HCP-ALD process was executed at temperature of 230, 250, 300℃, using Titanium tetra chloride (TiCl4) as Ti precursor and ammonia (NH3) as reactants with 0.5, 0.55, 0.54Å/cyc at each temperature. TiN thin film has face centered cubic polycrystalline structure and uniform thickness confirmed by XRD and TEM. Density of TiNx thin films was 5.16-5.29g/cm3, which showed higher density than that of sputtered TiN, which is similar to the theoretical density (5.35g/cm3) of TiN. HCP-ALD grown TiN showed a surface roughness of about 1.2nm confirmed by AFM and XRR. Resistivity of TiN was decreased by increasing deposition temperature showing about 160μΩ.cm grown at 300℃ with 35nm-thicnkess. HCP-ALD grown TiN was composed of Ti, N, O (3at%), and Cl (0.01at%) by AES depth profile and ToF-SIMS. Through the XPS analysis, TiN film was mainly consisted of Ti-N with a small amount of Ti-O-N, and no Cl peak was identified. Ti:N ratio was confirmed to 1:0.95 by RBS analysis. The diffusion barrier properties for Cu were evaluated by rapid thermal annealing at the temperature range of 200-800℃. The failure temperature was confirmed about 700℃ at 10-nm-thick TiN thin film between Cu and Si. In addition, the step coverage test was executed at trench wafer with aspect ratio of 7:1, which was higher than 95% for sidewall step coverage.

AA-TuP-55 Pt-coated Si X-ray Optics with Plasma Atomic Layer Deposition for GEO-X Mission
Masaki Numazawa, Daiki Ishi, Aoto Fukushima, Yuichiro Ezoe, Kumi Ishikawa, Sae Sakuda, Tomoki Uchino, Ayata Inagaki, Hiromi Morishita, Yoko Ueda, Takatoshi Murakawa, Yukine Tsuji, Luna Sekiguchi (Tokyo Metropolitan University); Kazuhisa Mitsuda (National Astronomical Observatory of Japan)

We have been developing an ultra-lightweight X-ray optic by using MEMS technologies for future X-ray astronomical missions (Ezoe et al., 2010, Microsys. Tech. 2010, 16, 1633), especially GEO-X (GEOspace X-ray imager) mission which is an 18U CubeSat (~20 kg) project to perform soft X-ray imaging spectroscopy of the entire Earth’s magnetosphere from Earth orbit near the Moon (Ezoe et al., 2020, Proc. SPIE 11444, 1144428).

The MEMS X-ray optic is made of 4-inch Si (111) wafer of ~300-µm resultant thickness. The Si wafer is firstly processed by deep reactive ion etching such that they have numerous curvilinear micropores (20-µm width) whose sidewalls are utilized as X-ray reflective mirrors. High-temperature hydrogen annealing and chemical mechanical polishing processes are then applied to make those sidewalls smooth and flat enough to reflect X-rays. After that, the wafer is plastic-deformed into a spherical shape and Pt-coated by atomic layer deposition (ALD) process to focus parallel X-ray lights from celestial objects with high reflectivity. Finally, we stack two optics bent with different curvatures (1000- and 333-mm radius) and complete the Wolter type-I telescope. The telescope is our original micropore optics and possesses lightness (~5 g), a short focal length (~250 mm), and a wide field of view (~4 deg x 4 deg).

We adopted the plasma ALD process to form smooth-thin Pt film on the surface of the micropore on our optic. High-aspect-ratio micropores with 20-μm width and ~300-μm depth were coated with ~20-nm Pt and ~10-nm Al2O3. Measured X-ray reflectivity curves for Al Kα 1.49 keV radiation indicated the surface roughnesses were estimated as 1.2 +0.6/–1.0 and 1.6 ± 0.1 nm RMS before and after the plasma ALD, respectively (Ishi et al., 2020, Appl. Phys. Express, 13, 087001). The surface roughness of the plasma ALD-coated Pt film was comparable with that of the substrate material and better than that of a thermal ALD-coated one in our previous study (Takeuchi et al., 2018, Appl. Opt., 52, 3237). This result and the roughness itself generally satisfied a specification of the telescope for the GEO-X mission. We also optimized the other processes to enable the optics to achieve an angular resolution of ~5.4 arcmins in half-power width (~10 arcmins in half-power diameter required for GEO-X) from the reflective surface figures and the entire wafer shapes. In this paper, we report the enhancement of the Pt-coated Si micropore X-ray optics by using the plasma ALD and the X-ray imaging performance of the telescope as an engineering model for the GEO-X mission (see Fig.1 in Supplemental Documents). View Supplemental Document (pdf)
AA-TuP-56 Structural and Optical Properties of Atomically Engineered Ir/Al2O3 Heterostructures
Pallabi Paul, Paul Schmitt (Friedrich Schiller University Jena, Germany); Zilong Wang, Weiwei Li, Matthias F. Kling (Ludwig-Maximilians-University of Munich, Max Planck Institute of Quantum Optics); Andreas Tünnermann (Fraunhofer Institute for Applied Optics and Precision Engineering IOF ); Adriana Szeghalmi (Fraunhofer Institute for Applied Optics and Precision Engineering)

Recently, the search for nonlinear optical materials has experienced tremendous attention. Due to the continuous requirement of shrinking device sizes, 3D photonic integration, the search for new nonlinear optical materials has become increasingly essential as a substitute to commercially available nonlinear bulk crystals. Owing to the advancement of nano-structuring and coating technologies down to atomically controlled dimension and composition, emerging technologies, such as atomic layer deposition (ALD) has enabled the fabrication of new atomically thin nonlinear optical materials.

In this work, we have explored an effective method to deposit atomically thin interfaces of Ir/Al2O3 heterostructures by means of ALD. Atomic layer deposition is a chemical coating technology based on sequential and self-limiting reactions of gaseous reactants with the available functional groups on the substrate surface allowing uniform and conformal films on high aspect ratio structures, 3D substrates, and micro-nano structured objects. The Ir/Al2O3 nano-stacks are investigated by spectroscopic ellipsometry, UV/VIS spectrophotometry, XRR, GIXRD, XPS, and HRTEM to obtain the structural, and optical properties. XRR and GIXRD measurements along with HRTEM micrograph demonstrate the growth of amorphous layered heterostructures. Additionally, XPS investigations reveal that for ultrathin Ir contributions, there is a formation of IrO2 at the interface, in contrast to discrete nanoparticle formation. The optical dispersion profiles (refractive index, and extinction coefficient) of the compositions have been reliably determined employing the spectroscopic ellipsometry technique. Upon increasing the Ir contribution, the whole layered stack undergoes a transition from an effective insulating material towards an effectively metallic system at a critical Ir thickness regime of 2-4 nm.

Initially, iridium nanoparticle systems are examined for their nonlinear optical response. We have experienced the presence of third-order nonlinearities in Ir nanoparticles. Furthermore, nonlinear optical measurements show the enhancement of two orders of magnitude in third-harmonic intensity as compared to pure Al2O3 layers by the inclusion of Ir within the heterostructures. Further experimental investigations and theoretical validation of third-order nonlinearities are currently in progress.

AA-TuP-57 Broadband Absorbers by ALD: An Opportunity for Solar-Driven Applications
Mario Ziegler, Valentin Ripka (Leibniz Institute of Photonic Technology); Pengfei Cheng (TU Ilmenau); Kanchan Kc, Hanjörg Wagner, Uwe Huebner (Leibniz Institute of Photonic Technology); Dong Wang, Peter Schaaf (TU Ilmenau)

The incorporation of nanoparticles in photocatalytic materials improves the absorption behavior and thus, decreasing drastically the necessary thickness of the functional layers. Moreover, the efficiency can be easily increased by absorbing a larger fraction of the incident light due to optical properties of large variety of different nanoparticles. Nevertheless, the incorporation of many different nanoparticles in photocatalytic materials remain challenging. ALD is a versatile and flexible tool with good controllability of the film composition and the deposition itself, leading to new opportunity in layer design.

Here, we report on a plasma-enhanced ALD process on planar and nonstable substrates to fabricate complex 3D hybrid nanostructures with high absorption above 99 % from 220 nm to 2500 nm (Figure 1 (a)) and peak absorption of 99.77 % at a film thickness of only 9 µm [1]. In contrast to classical ALD, this approach utilizes the metastability of planar substrates within the PE-ALD process. The metastable substrate acts as a time-limited precursor container leading to the generation of complex 3D architectures. The geometry of these hybrid structures can be easily adjusted by the applied PE-ALD parameter. So, sponge-like, highly-porous or nanowire-like structures can be generated [2]. The structures consist of nanoparticles, originated from the planar template, and a 3D scaffold originated from the PE-ALD process. By applying silver as template and silica as PE-ALD material, we have shown the formation of high absorption silver-nanoparticle silica nanowire hybrid layers.

We found that the 3D silica-scaffold leads to a preferential forward-scattering while the Ag-NP leads to broader absorption of the incident light. The excellent optical properties as well as the opportunity to generate a variety of different composition setups might be quite promising for solar-driven applications such as plasmonic-induced photocatalysis, photothermal water purification (figure 1 b) or photovoltaics [3].

[1]M. ZIEGLER et al., ACS nano 2020, 11 (14), 15023.

[2]M. ZIEGLER et al., Applied Surface Science 2020, 514, 145770.

[3]P. CHENG et al., Applied Materials Today 2021, 25, 101238.

View Supplemental Document (pdf)
AA-TuP-59 Bipolar and Unipolar Resistive Switching in HfO2 Based Films Embedding Ni Particles
Joonas Merisalu, Markus Otsus, Toomas Daniel Viskus, Tauno Kahro, Aivar Tarre, Aarne Kasikov, Peeter Ritslaid, Jekaterina Kozlova, Jaan Aarik, Kaupo Kukli, Aile Tamm (University of Tartu)

Among various thin metal oxide films, studied as resistive switching (RS) media for memristor cells, HfO2films have been mentioned as media where both unipolar and bipolar RS could be observed dependently on the cell design [1]. Nanocomposites of HfO2 and foreign metal particles have also been studied as RS media [2]. However, different types of RS that can co-exist in these structures may destabilize RS and complicate programming-reading operations.

In the present work, changes in RS parameters and direction were studied in HfO2 based films grown using two different ALD processes, based on HfCl4 and O3, and Hf[N(C2H5)(CH3)]4 (TEMAH) and O2 plasma [3] as the precursors. Both sample sets contained cells where Ni particles with sizes of around 70 nm were embedded in HfO2, as well as cells with particle-free HfO2 films with the same thickness. All films demonstrated bipolar RS (Figs. 1 and 2). In some cases, clockwise and counterclockwise bipolar RS, and unipolar RS were detected in the same device. During endurance tests of some samples, the RS direction changed after several thousand RS cycles (Fig. 3).HfO2 films grown from HfCl4 demonstrated all three types of RS (Figs. 1, 3, and 5), whereas HfO2 grown from HfCl4 with Ni particles showed dominantly bipolar RS (Figs. 2 and 4). The endurance tests of the latter samples allowed recording 33000 RS cycles with the ratio between low and high resistivity states (LRS:HRS) of an order of magnitude (Fig. 4). HfO2grown from TEMAH with Ni particles exhibited unipolar RS with reset voltage below 0.8 V (Fig. 6). The transition to LRS could place gradually. In the latter case intermediate current-voltage loops could be recorded before final transition to LRS (Fig. 6). This could indicate the possibility of multilevel switching.

References:

[1] F. Zahoor, T Zainal, A. Zulkifli, F. A. Khanday, Resistive random access memory (RRAM): an overview of materials, switching mechanism, performance, multilevel cell (mlc) storage, modeling, and applications. Nanoscale Res. Lett.15, 2020, 90.

[2] Y. Li, J. Tang, B. Gao, W. Sun, Q. Hua, W. Zhang, X. Li, W. Zhang, H. Qian, H. Wu, High-uniformity threshold switching HfO2-based selectors with patterned Ag nanodots. Adv. Sci. 7, 2020, 2002251.

[3] M. Cortez-Valadez, C. Fierro, J.R. Farias-Mancilla, A. Vargas-Ortiz, M. Flores-Acosta, R. Ramírez-Bon, J.L. Enriquez-Carrejo, C. Soubervielle-Montalvo, P.G. Mani-Gonzalez, Comparison of HfCl4, HfI4 , TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study. Chem. Phys. 472, 2016, 81. View Supplemental Document (pdf)
AA-TuP-60 Novel Sulfide and Nitride Materials by Plasma Enhanced Atomic Layer Deposition
Jakob Zessin (SENTECH Instruments GmbH); Marion Hagel, Thomas Reindl (SF Nanostructuring Lab, Max Planck Institute for Solid State Research); Kathrin Küster (SF Interface Analysis, Max Planck Institute for Solid State Research); Paul Plate (SENTECH Instruments GmbH)

The preparation of thin sulfide and nitride films for electronic applications attracts an increasing interest. Atomic layer deposition (ALD) is an advanced method used to deposit uniform thin films with precisely controlled thickness in the sub-nanometer range, on large surface area substrates and with complex 3D-geometries, which makes ALD an important technique in thin film and device manufacturing.

In this work, we investigate the deposition of Molybdenum disulfide (MoS2) and Niobium nitride (NbN) as representative layers for sulfides and nitrides, respectively, which are used as active layers in electronic devices. MoS2 is a 2D–material, which consist of a layered structure and the layers can be exfoliated to a single layer. Vice versa to exfoliation, a defined number of layers could be deposited by ALD. The properties of MoS2 depend on the number of layers. For example, the band gap changes from 1.3 V (indirect) to 2 V (direct) when reducing the number of layers from bulk to a single monolayer.[1] MoS2 can be used in manifold applications such as thin film transistors (TFTs), photovoltaic devices and as a catalyst for hydrogen evolution reaction due to its optical and electronic properties. NbN is a superconductor and has a critical temperature of up to 13.8 K.[2] Therefore, it has potential for application in single photon detectors.

The depositions have been carried out in a SENTECH plasma enhanced ALD (PEALD) tool. A PEALD process has been developed for MoS2 and NbN films by using in-situ ellipsometry (SENTECH ALD Real Time Monitor). Furthermore, the films have been analyzed by ex-situ ellipsometry and X-ray photon spectroscopy to determine the optical parameters and the exact film composition. The film morphology of MoS2 was investigated by means of scanning electron microscopy.

It is observed that MoS2 features a saturated growth in the precursor half-cycle, but a clear saturation was not found during the plasma treatment step. The temperature window was determined between 200°C and 300°C. The first XPS results revealed the formation of MoS2, however, there are some contaminations of carbon and oxygen present in the film.

The NbN film properties were investigated depending on the used gas species, i.e. nitrogen and hydrogen. A correlation between the obtained electrical properties of the films and the used plasma gases was observed. Tuning the plasma gas mixture allows to deposit high quality films at different substrate temperatures.

In conclusion, we show successful PEALD processes for the deposition of MoS2 and NbN.

[1]Daleep et al. J. Appl. Phys.2016, 119, 114309.

[2]Linzen et al. Supercond Sci Technol2017, 30, 035010.
AA-TuP-61 Atomic Layer Deposition of Epsilon Near Zero Transparent Conducting Oxides
Emily Duggan (Tyndall National Institute, University College Cork); Dipa Ghindani (Tampere University); Jun Lin (Tyndall National Institute, University College Cork); Humeyra Caglayan (Tampere University); Ian M Povey (Tyndall National Institute, University College Cork)

An epsilon-near-zero (ENZ) material, in which the real component of the permittivity vanishes, exhibits distinct optical features such as large nonlinearity, near-zero refractive index, decoupling of electricity and magnetism, and infinite phase velocity. Furthermore, it has been shown that the refractive index of these transparent conductive oxides can be altered dramatically when light, with a large enough intensity and close to the plasma-resonance frequency (ENZ frequency), impinges on a layer of a few tens of nm-thickness, these changes are rapid and reversible, with the material being excited and returning to its original state on a timescale of a few picoseconds. Such properties give ENZ materials the potential to revolutionise ultrafast photonic devices.

In this study we have used atomic layer deposition (ALD) to realise ENZ characteristics in doped ZnO. All growth was performed in a Picosun R200 in thermal mode, using Diethylzinc, Tetrakis(dimethylamino)titanium and Trimethylaluminium as the metal sources, and water as the co-reagent. Doping was performed using a laminate methodology. The ENZ frequency was tuned by varying growth parameters, thickness, and doping ratios to optimise the response at the communication wavelength of 1.6 micron. The use of ALD to generate an efficient ENZ material is a significant step to realising manufacturable materials that enable efficient light-light interaction-based device technologies.

AA-TuP-62 Magnetic Domain Creation by Local Reduction of Nickel Oxide Films
Gabriele Botta (BRTA CIC nanoGUNE); Mato Knez (Nanomaterials Senior Scientists Ikerbasque professor in BRTA CIC nanoGUNE)

We propose an experimental route for locally modifying the magnetic properties of a ALD deposited NiO thin film (paramagnetic) by isothermal gas phase reduction in presence of hydrogen. Continuous NiO films were deposited by alternately pulsing Ni(Cp)2 and ozone at a temperature of 220 °C. In the present work it will be shown that a thin film of Al2O3 (5nm), deposited with TMA and ozone as co-precursor, can be used as a mask to prevent the diffusion of the reductant gas (H2/Ar mixture) in the underlying NiO thin film and suppress its reduction to metallic nickel.

By patterning with eBeam lithography and anisotropic etching the aluminium mask and finely controlling the gas phase reduction step, this method can be utilized to fabricate nanostructured thin films of ferromagnetic domains (Ni) embedded in a paramagnetic medium (NiO).

The optimization of the reduction step will be discussed and the parameters which influence the creation of the magnetic nanostructures will be highlighted. It will be shown that the Al2O3 mask thickness and H2/Ar pressure, play a crucial role on the diffusion of the gas into the masked areas and how they can be optimized to produce magnetic domains with a high degree of control.

This method will be employed for fabricating two different types of devices for testing the magneto-optical and electronic properties of the ALD materials. The magneto-optical characterization will be carried out by measuring the Magneto Optical Kerr Effect (MOKE) response of nickel nanoarrays produced in different annealing conditions (varying temperature, and reductant pressure). The transport measurement of the ferromagnet will be carried out on a Hall Bar device and the results will be compared with literature demonstrating that this methodology can be used to produce devices with novel functional designs.

AA-TuP-65 Enhanced Self-Assembled Monolayer Surface Coverage by ALD NiO in P-I-N Perovskite Solar Cells
Nga Phung, Marcel Verheijen, Anna Todinova, Kunal Datta, Michael Verhage (Eindhoven University of Technology); Amran Al-Ashouri, Hans Köbler, Xin Li, Antonio Abate, Steve Albrecht (Helmholtz Zentrum Berlin); Mariadriana Creatore (Eindhoven University of Technology)

Perovskites have attracted tremendous attention due to their excellent opto-electronic properties, enabling perovskite solar cells (PSCs) with a record efficiency of 25.5%[1]. ALD has been used widely in the perovskite field to deposit contact layers, buffer layers, and encapsulation layers. Notably, ALD NiO has been used successfully as hole transport layer (HTL) in PSCs.[2] In this contribution, we further investigate the application of ALD NiO, in combination with self-assembled monolayer (SAM) using MeO-2PACz ([2-(3,6-dimethoxy-9H-carbazol-9-yl)ethyl]phosphonic acid)in p-i-n PSCs.[3] We fabricate 8 nm ALD NiO to use in PSCs by bis-methylcyclopentadienyl-nickel (Ni(MeCp)2) as precursor and O2 plasma as co-reactant at a substrate temperature 150°C. Specifically, we focus on the surface coverage of SAM on ALD NiO/ITO and compare with the coverage directly on ITO.[4] Surface coverage is highly relevant in contacts for photovoltaic devices, since non-covered areas can result in electrical shunts, thus reducing the device efficiency.

Herein, we adopt TEM and analyse the ITO/(NiO/)SAM interface. Processing SAM directly on ITO results in an inhomogeneous layer, with areas exhibiting low molecular density. In contrast, the presence of NiO induces a homogenous SAM formation, characterized by a higher optical density (via spectroscopic ellipsometry) compared to ITO/SAM. This improvement of surface coverage is due to a higher hydroxyl group concentration on the NiO surface when compared to ITO, as revealed by XPS. Moreover, conductive AFM mapping reveals exposed ITO areas due to insufficient SAM coverage on ITO. Instead, ITO/NiO/SAM layer exhibits a uniform and low current map indicating a good coverage of SAM on NiO, and conformality of NiO on ITO. This difference in surface coverage translates into different devices efficiency spread when using the layers as HTL in PSCs using CsFAMAPb(I0.83Br0.17)3 with FA and MA being formamidinium and methylammonium, respectively. Specifically, a larger efficiency spread with standard deviation of 1.1% is observed for ITO/SAM devices. Instead, the implementation of ALD NiO, by improving the SAM surface coverage, leads to a narrower distribution of efficiency, with a standard deviation down to 0.5%. This study indicates that the bi-layer ALD NiO/SAM can enable highly efficient large area PSCs, which is relevant for upscaling the technology.

1. Lee, et al.(2021). Nature, 598, 444-450

2. Koushik, et al.(2019). J. Mater. Chem. C7, 12532–12543

3. Al-Ashouri, et al.(2019). Energy Environ. Sci., 12, 3356–3369

4. Phung, et al.(2022). ACS Appl. Mater. Interfaces, 14, 1, 2166–2176

View Supplemental Document (pdf)
AA-TuP-66 Direct Chemical Vapour Deposition of Graphene on Atomic Layer Deposited Functional Nickel Oxide
Geedhika Poduval, Dali Ji, Stephen Bremner, Rakesh Joshi, Bram Hoex (UNSW Sydney)

The rise of graphene-based devices has generated enormous scientific attention to directly synthesize high-quality graphene onto insulating substrates. Currently, graphene layers are synthesized by chemical vapour deposition (CVD) at high temperatures on transition metals Cu and Ni. The graphene layer is subsequently transferred to the desired substrates for device applications. Conventionally, the transfer process involves a solution-based approach, which results in its chemical and physical denaturing. The transferability and quality of the graphene layer significantly influence the final device performance, meaning device yield remains a significant challenge.

Here, a new method is reported to directly synthesize graphene films on a functional layer - NiOx without the need for an additional metal catalyst. In contrast to the conventional approach, where hazardous gases methane and hydrogen are used, here, ethanol, a non-toxic, liquid precursor, is used to directly grow graphene on atomic layer deposited (ALD) NiOx. The first successful graphene growth is demonstrated, and its growth mechanism is investigated in detail. The reduction of the surface atomic layers of NiOx to metallic Ni is found to be key to catalyzing graphene growth. This process resulted in bilayer graphene flakes. Further, the impact of physical vapour deposited (PVD) NiOx and varying NiOx thickness on graphene growth is studied. It is found that the PVD NiOx layer is completely reduced during the CVD process. Whereas the dense layers afforded by ALD seem to be required to limit the reduction of the metal oxide film to the top surface resulting in the preservation of the metal oxide film at the substrate. The capability to directly grow graphene on a functional oxide layer that also acts as the catalyst using a nontoxic liquid precursor enables seamless integration of graphene into next-generation solar cells and optoelectronic devices.

View Supplemental Document (pdf)
AA-TuP-67 The Role of Defects in Tuning the Properties of Highly Conductive Cuprous Oxide Thin Films Revealed Through Positron Annihilation Spectroscopy
Abderrahime Sekkat (LMGP/IMEP-LAHC/SIMAP); Maciej Oskar Liedke (HZDR); Viet Huong Nguyen (Phenikaa); Maik Butterling (HZDR); Federico Baiutti, Juan de Dios Sirvent (IREC); Matthieu Weber, Laetitia Rapenne, Daniel Bellet (LMGP); Guy Chichignoud (SIMAP, Grenoble-INP, CNRS); Anne Kaminski-Cachopo (IMEP-LAHC); Eric Hirschmann, Andreas Wagner (HZDR); David Muñoz-Rojas (LMGP)

Cu2O, being a non-toxic and abundant p-type semiconductor, is drawing a lot of attention for several energy applications. So far, the lowest resistivity values have been obtained for films deposited by physical methods and/or at high temperatures (~1000 °C), limiting their mass integration. In this work, Cu2O thin films with record resistivity values of 0.4 Ω.cm were deposited at only 260 °C by atmospheric pressure spatial atomic layer deposition, a scalable chemical approach. The carrier concentration (7.1014-2.1018 cm-3), mobility (1- 86 cm2/V.s), and optical bandgap (2.2-2.48 eV) can be simply tuned by varying the deposition parameters. Our results show that the transport properties of the films are correlated to the nature and concentration of defects, as revealed by positron annihilation spectroscopy (PAS) studies and density functional theory calculations. This study reveals the existence of large complex defects and the evolution of the overall defects concentration and transport properties evolving with varying deposition conditions, opening prospects for the adoption of Cu2O.

View Supplemental Document (pdf)
AA-TuP-68 Highly Conformal CoOX Layer Formed by Atomic Layer Deposition for High Performance Supercapacitors
Sangeeta Adhikari, Gi-Hyeok Noh (Chonnam National University); do heyoung kim (5-404, Engineering Building 5,)

Binary metal sulfides (BMSs) have attracted great attention over the decades for electrochemical energy storage systems. In particular, BMSs acts as a promising electrode material for supercapacitor application. Compared to their individual sulfide counterparts, BMSs exhibit enhanced electronic conductivity with faster redox reactions. However, stability and capacity retention are the bottlenecks that restricts their applicability. In this regard, atomic layer deposition (ALD) has emerged as an advanced deposition technique, which can tune the performance through atomic level conformal deposition contributing in stable surface reactions for prolonged time-period. In addition, the specific capacitance is influenced by the ALD thickness, leaving more room to understand the electrode-electrolyte interactions. Therefore, the present work will demonstrate the supercapacitor performance of ALD CoOx on hydrothermally grown and sulfurized MnCo­2S4 nanoneedles. The effect of ALD thickness on performance, stability, and capacity retention will be understood and evaluated.

AA-TuP-69 Ultra-low Resistivity Molybdenum Carbide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition Using a Cyclopentadienyl-based Precursor
Min-Ji Ha, Jeong-Hun Choi, Ji-Hoon Ahn (Hanyang University)

As memory devices become ultra-miniaturized, the increase in the resistance of the metal line due to the decrease in the line width has become a very critical issue. Mo-based materials have been studied as candidates for next-generation metal line materials because of their low resistivity at low thickness and excellent oxidation resistance. However, the development of precursors suitable for vapor deposition methods (such as atomic layer deposition) is immature. In this study, we propose an ultra-low resistivity MoCx thin film using a cyclopentadienyl-basedprecursor as a new metal line candidate. Using a halogen-free liquid precursor, MoCx thin films were successfully deposited by PEALD in a wide process window of 200-300 ºC. We confirmed that uniform and continuous films were deposited on the SiO2 substrates without any significant incubation period. The most important result of this study is that as-deposited MoCx thin films exhibited an ultra-low resistivity of 8-20 μΩ·cm,and such ultra-low resistivity was maintained even with a thickness as thin as 4.25 nm and after rapid thermal annealing up to 600 ºC. This is the lowest resistivity value of reported metal line candidate materials available at the sub-10 nm device scale level. The ultra-low resistivity at a very thin thickness and excellent thermal stability suggest the possibility that the MoCx thin films proposed in this study can be applied in various applications as a next-generation metal line material in the semiconductor industry.

AA-TuP-70 A Simple Strategy to Realize Super Stable Ferroelectric Capacitor via Interface Engineering
Hyo-Bae Kim (Hanyang University); Kyun Seong Dae, Jae Hyuck Jang (Korea Basic Science Institute (KBSI)); Ji-Hoon Ahn (Hanyang University)

Fluorite-structure ferroelectric thin films have been extensively studied as promising candidates for next generation non-volatile memory. However, these ferroelectric thin films have fatal issues such as low cycling endurance, and wake-up and fatigue during cycling endurance tests. These problems are reportedly caused by oxygen vacancies, which form due to the interface reaction between the thin films and bottom electrode during deposition and the post-annealing process. Therefore, we expected that the critical problems of fluorite-structure ferroelectric thin films can be solved by controlling the amount of oxygen vacancies by preventing unwanted interface reactions. In this work, the enhanced ferroelectric characteristics of Hf1-xZrxO2 thin films that control the oxygen vacancies in thin films through interfacial pre-treatment were investigated. Interfacial pre-treatment using an oxygen source can reduce oxygen vacancies and improve crystallinity through intentional oxidation of the bottom electrode. As a result, the remanent polarization value was increased by about 1.6 times by applying the optimized pre-treatment condition, and the measured 2Pr value of 73 μC/cm2. Furthermore, it exhibited very stable ferroelectric properties without a wake-up effect or significant fatigue, up to 108 cycles even under a severe electric-field of 3.5 MV/cm. This simple strategy provides a new avenue to effectively improve the performance and cycling endurance of devices with ferroelectric thin films.

AA-TuP-71 Area-Selective Atomic Layer Deposition Brings Plasmonic Biosensors Into the Electronic Age
Corbin Feit (University of Central Florida); Priya Rathi, Srikanth Singamaneni (Washington University in St. Louis); Parag Banerjee (University of Central Florida)

Label-free biosensing platforms based on refractive index sensitivity of localized surface plasmon resonance (LSPR) hold significant importance in healthcare as diagnostic tools. Colorimetric biosensors use plasmonic nanostructures that exhibit significant light-matter enhancement in the nanoscale. Upon a specific biomarker binding event, optical shifts of the LSPR are detected through spectrophotometry. Point-of-care is limited by the bulky, expensive, and labor-intensive use of spectrometers. Efforts to miniaturize instrumentation diminishes the spectral resolution, which in turn compromises the limit of detection for shifts of the LSPR.

To overcome the limitations that arise from current state-of-art optical readout technologies, we present detailed results of a “plasmo-resistor" sensor that electronically monitors the photocurrent generated by hot electrons (HE) emitted from plasmonic gold nanorods (AuNRs). Nanoscale engineering is required to deposit conductive films for transferring hot electrons through a vicinal medium, while maintaining viable plasmonic nanostructures for conjugation with biomarkers. Area-selective atomic layer deposition (AS-ALD) remains the only suitable technique capable of meeting this constraint. The fabrication and viability of partially embedded AuNRs in AS-ALD of zinc oxide (ZnO) on a two-electrode device is probed by UV-Vis and Raman spectrometry, AFM, and TEM. We investigate the electronic properties of the AuNR-ZnO nanocomposite and demonstrate the excitation of HE mirrors the optical response of the LSPR. Through coupled Raman microspectroscopy and laser beam induced current (LBIC) measurements a change in photocurrent caused by shifts in the LSPR is linked to an aminothiolphenol binding event. This proof-of-concept plasmo-resistor device is expected to pave the way for detection of biomarkers via the coupling of highly sensitive changes to LSPR to an electronic signal thus, negating the use of expensive, bulky and labor-intensive instrumentation.

AA-TuP-73 Annealed ALD TiNX Layers for Through-Silicon Superconducting Interconnects
Kestutis Grigoras, Patrik Eskelinen (VTT Technical Research Centre of Finland, Ltd); Marco Caputo (VTT Technical Research Centre of Finlandt, Ltd); Debopam Datta, Alberto Ronzani, Elsa Mannila, Joonas Govenius (VTT Technical Research Centre of Finland, LTD)

The unique mechanical, electrical, and chemical properties of titanium nitride facilitate a wide range of applications. TiN layers are employed as drill coating materials, as MOSFET gate electrodes, as diffusion barriers for Cu, as 3D interconnects, and even as gold imitating coatings on watches. Many of those applications can benefit from atomic layer deposition (ALD), which offers conformal coating and precise control of film thickness [1, 2]. During subsequent fabrication steps, or during device operation, the TiN coating can experience thermal cycling. Therefore, understanding the effect of annealing TiN layers at various temperatures is important.

In this work, we investigate the influence of annealing on electrical conductivity, residual stress, and optical properties of TiNx layers. Layers are grown on 6-inch wafers by a thermal ALD process at 450oC using TiCl4 and ammonia as precursors and nitrogen as a carrier gas. Pulse and purge times were tuned in order to change film stress and resistivity. The obtained thicknesses are 50 to 150 nm, and the growth per cycle is approximately 0.19 Å. Coated wafers are annealed for 5 to 10 minutes at 700, 800 or 900oC in nitrogen atmosphere. As grown and annealed samples are characterized by scanning electron microscope (SEM), 4-point probe measurements, ellipsometry, X-ray diffraction (XRD), and laser reflection based residual stress measurement.

We obtain resistivities of approximately 200 to 300 µOhm cm and tensile stresses of approximately 1.5 GPa for as deposited layers. Tests show that TiN films are sensitive to annealing conditions: presence of humidity or oxygen results in degradation: resistivity increases to the MOhm-cm range, also visually layers change and partly peel off from the substrate (Fig. 1). Minimizing pressure and increasing nitrogen flow during annealing results in uniform and bright films, even for different initial thicknesses (Fig.1). The resistivity also decreases, by a factor of 2 to 3 for layers annealed at 900oC. This may be explained partly by a change in crystallinity (Fig. 2) and by possible additional nitridation of layers: our earlier investigations by ToF ERDA showed that the main impurity of as grown layers was oxygen [3]. An important result is the increase of the critical temperature of the superconducting phase Tc from 100 mK to 3 K after annealing.

  1. Grigoras et al, Nano Energy 26 (2016) 340-345
  2. Grigoras et al, 21st Electronics Packaging Technology Conference (2019) 81-82
  3. Grigoras et al, ALD2016, Technical Program & Abstracts American Vacuum Society (2016)
View Supplemental Document (pdf)
AA-TuP-75 Surface Modification of Atomic Layer Deposited Metal Oxides: Vapor-Phase Grafting of Functional Silanes
Vepa Rozyyev (University of Chicago); Rajesh Pathak, Rahul Shevate (Argonne National Laboratory, USA); Julia Murphy (University of Chicago); Anil Mane (Argonne National Laboratory, USA); Steven Sibener (University of Chicago); Jeffrey Elam (Argonne National Laboratory, USA)

Covalent surface modification of oxides with alkyl silanes is one of the most commonly used method to prepare well-defined functional surfaces. Using alkyl silanes with functional groups at the alkyl chain will produce functional surfaces for various applications such as water treatment, biosensing, and anti-fouling surfaces. Atomic layer deposition (ALD) is a highly versatile surface functionalization technique that can conformally coat both planar and porous substrates. Surface modification of ALD films with organic species is an ideal way to tailor the surface properties. Here we demonstrate the vapor-phase grafting of various bifunctional silanes on ALD metal oxides. We investigate the grafting of six different silanes with amine, thiol, nitrile, and ester functionalities at 100 ℃, 150 ℃, and 200 ℃ temperatures. In situ quartz crystal microbalance (QCM), Fourier-transform infrared (FTIR) spectroscopy measurements, ex-situ atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) measurements show the uniform monolayer silane formation through self-limiting reactions. It is shown that the density of reacted silanes and surface hydrophobicity can be tuned by using different bifunctional silane agents, on different metal oxides, at different temperatures.

Session Abstract Book
(657KB, May 7, 2022)
Time Period TuP Sessions | Topic AA Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule