ALD/ALE 2021 Session AF10: ALD Fundamentals Poster Session

On Demand

Session Abstract Book
(400KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

AF10-1 Datasets and Algorithms for Machine Learning-Driven Process Optimization Using ALD Growth Profiles
Angel Yanguas-Gil, Jeffrey Elam (Argonne National Laboratory)

Process optimization is a crucial aspect of atomic layer deposition that is often carried out through a combination of trials and human expertise. In this work we explore how machine learning approaches, and in particular artificial neural networks, can help accelerate such optimization, potentially reducing it to a two step process.

Our goal is to use thickness values measured at different points of a reactor as well as dose timings as inputs to predict optimization targets such as dose times required to achieve full saturation or predicting growth profiles in areas that are not readily accessible. This data is usually obtained as part of the routine characterization process after each deposition. Our approach relies on two steps: we first use CFD simulations to generate growth profiles inside a reactor of a given geometry. The simulations depend on parameters that are generally not known, but when enough conditions are explored, they provided a dataset that covers the expected behavior of a wide range of ALD process. The resulting datasets are split into two different sets, one for training, and one for training, so that machine learning algorithms can be validated against data they have not been trained against. The second step is to train surrogate models using the training datasets to carry out a specific task. In this work we have explored primarily models based on artificial neural networks.

When trained and compared for tasks such as predicting saturation times, machine learning models based on artificial neural networks can accurately predict dose times, with a Pearson correlation accuracy exceeding 0.99 with respect to the testing dataset. When we apply this model to experimental data obtained for TMA/water growth in our cross flow reactors we also observe similarly a very good accuracy. We have generalized this model to situations involving more than one growth conditions, therefore extending the models to conditions where we are trying to optimize both saturation times simultaneously. Finally, we explore other relevant problems, such as the discrimination between self-limited and non-self limited growth, which can be casted as a classification task, and the case of process transfer across two different reactors.

AF10-2 Conducting Hafnium Nitride Films Fabricated by Atomic Layer Deposition With Cleaning Step and Post-Deposition Annealing in NH3 Atmosphere
Seung Kyu Ryoo, Beom Yong Kim, Hyeon Woo Park, Yong Bin Lee, Suk Hyun Lee, Minsik Oh, In Soo Lee, Seung Yong Byun, Doo Sup Shim, Cheol Seong Hwang (Seoul National University)

As the scaling down of memory device kept proceeded, a highly integrated structure using high-k gate dielectrics (such as HfO2) has attracted a great deal of interest to ensure high performance in the ultra-thin film (<10 nm). At the same time, HfO2 compatible electrodes are also required to reduce degradation caused by the adverse interface properties between electrode and dielectric. Among various materials, hafnium nitride (HfN) is one of the most promising candidates, with superior compatibility with HfO2, low bulk resistivity, and high thermal stability. For high-density memory application, all layers in the memory cell (dielectric, electrode) should be fabricated by atomic layer deposition (ALD) for conformal growth. However, it is challenging to obtain conductive hafnium nitride films grown by ALD because of the formation of the insulating phase (Hf3N4) or contamination of oxygen impurity (HfOxNy).

In this study, conducting hafnium nitride film with a low impurity (<5%) was deposited by ALD and post-deposition anneal (PDA). The ALD HfN films were deposited using TEMA-Hf([HfN(CH3)C2H5]4) as a Hf-precursor­ and NH3 as a reactant gas. The cleaning step, feeding only TEMA-Hf without NH3 gas, was conducted before the main ALD cycle to decrease the impurity concentration in the grown hafnium nitride thin films. During this step, injected TEMA-Hf reacted with impurities and decreased the residual contamination gases inside the chamber without being deposited on the substrate. The PDA at temperature >900℃ under the NH3 atmosphere further decreased impurities and promoted phase change from insulating Hf3N4 to conducting HfN. Finally, HfN film with a resistivity of 106µΩ·cm could be achieved by such process optimizations.

References [1] Jill S. Becker et al., Chem. Mater, 16, 3497-3501 (2004).

AF10-3 Higher Temperature ALD for HfO2 Film using Hafnium Aminoalkoxide Precursors
Masako Hatase, Hiroki Sato, Atsushi Sakurai, Tomoharu Yoshino, Nana Okada, Akihiro Nishida, Atsushi Yamashita (ADEKA CORPORATION)

Hafnium oxide (HfO2) is widely used in the semiconductor industry as a high-k dielectric in memory capacitors and as a gate insulator in field effect transistors. Recently, HfO2 attracts additional attention as a possible candidate for CMOS-compatible and scalable ferroelectric memories. The properties of HfO2 films vary depending on the crystallographic structures which can be controlled with deposition conditions. For example, higher deposition process is promising to obtain a higher dielectric constant phase. For the last decade or more, hafnium amide-, cyclopentadienyl- and chloride-based precursors have been commonly used as ALD precursors, but their thermal stabilities are insufficient for higher temperature use. Therefore, more thermally robust hafnium precursors for higher temperature ALD are considered to be advantageous.

In this work, several hafnium aminoalkoxide precursors have been investigated. As general hafnium alkoxide precursors [Hf(OR)4] have unoccupied cordination sites, we have introduced amine chelates in the molecule to complete the hafnium coordination sphere. Tetrakis(1-dimethylamino-2-propoxy)hafnium [Hf(dmap)4] is a viscous liquid at room temperature and has high vapor pressure (1.0 torr @ 153oC). The thermal stability of Hf(dmap)4 was found to be higher than that of TDMAH by 90oC according to DSC measurement. We demonstrated ALD of HfO2 using Hf(dmap)4 with an O3 coreactant. Self-limiting film growth on Si and SiO2 substrates was observed at higher deposition temperature from 250oC to 350oC. A smooth HfO2 film was confirmed by FE-SEM measurement (Fig. 2). Furthermore, carbon and nitrogen contamination in the HfO2 film was not detected by XPS measurement when deposited at 375oC (Fig. 3). We have also synthesized Tetrakis(1-dimethylamino-2-butoxy)hafnium [Hf(dmab)4] and Tetrakis(1-ethylmethylamino-2-propoxy)hafnium [Hf(emap)4], which are both thermally stable as well.

In conclusion, hafnium aminoalkoxide precursors are thermally robust and suitable for high temperature ALD of HfO2 films. During the presentation, basic properties of the hafnium aminoalkoxide precursors and HfO2 film quality will be discussed. View Supplemental Document (pdf)
AF10-4 Plasma Enhanced Atomic Layer Deposition (PEALD) of Nickel Using Allyl Cyclopentadienyl Nickel as the Precursor
Elham Kamali Heidari, Kenneth Bosnick, John Canlas, Tim Patrie (National Research Council, Canada)

Owing to their excellent magnetic properties, low electrical resistivity, high thermal conductivity, high Curie temperature, excellent corrosion resistance and unique catalytic properties, nickel thin films and nanoparticles have found various applications ranging from information storage devices and electronics to energy storage, magnetic sensors and catalysis.

In the present study nickel thin films were deposited on silicon and sapphire substrates using allyl cyclopentadienyl nickel (C8H10Ni) as the precursor and N2 and N2/H2 as the co-reactant plasma. This precursor was chosen due to its low melting point of 9 oC and high vapor pressure that provides the possibility of low temperature atomic layer deposition of nickel. PEALD was carried out by sequential exposure of the substrate to the precursor and plasma, followed by a purging time of 2 and 5s, respectively. The effect of substrate temperature as well as plasma composition and dose time was studied. X-ray reflectometry (XRR) studies showed that 200 oC is the optimum substrate temperature in terms of film thickness. Lower and higher temperatures resulted in a decrease in growth rate. Regarding the plasma composition, pure N2 plasma leads to higher growth rates, but less Ni content in the film, while introducing 5% H2 to the plasma results in lower growth rates but higher Ni concentrations. The optimum plasma does time is 15s. Lower dose times resulted in lower growth rates, while higher does times did not make changes to the thickness.

Finally, the optimum ALD Ni coated substrates were loaded in a CVD chamber for deposition of CNTs where Ni acts as the catalyst for nucleation and growth of CNTs. The scanning electron microscopy (SEM) as well as Raman spectroscopy studies showed that regardless of the plasma composition, CNTs are uniformly deposited all over the surface, showing the high effectiveness of the developed ALD process for deposition of well dispersed of Ni catalysts on the surface of both Si and sapphire. We believe the developed recipe can be applied for deposition Ni nanoparticles or thin films on different substrates for catalysis applications.

AF10-5 Enhancement of Ferroelectric Properties of Hf0.5Zr0.5O2 Thin Films by Various Metal Electrodes
In Soo Lee (Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, Seoul National University); Beom Yong Kim, Seung Dam Hyun, Hyeon Woo Park, Yong Bin Lee, Suk Hyun Lee, Minsik Oh, Seung Kyu Ryu, Seung Yong Byun, Doo Sup Shim, Cheol Seong Hwang (Seoul National University)

Since the discovery of ferroelectric properties in HfO2-based materials, Hf0.5Zr0.5O2 (HZO) became one of the most prevalent ferroelectric thin films due to its excellent ferroelectricity with small film thickness and CMOS-compatibility [1]. Among various metal electrodes used as a bottom electrode, the HZO film showed the best ferroelectric properties when grown on the TiN bottom electrode [2]. However, a recent study revealed that the tungsten (W) metal electrode used as a bottom electrode and top electrode resulted in the highest remnant polarization (Pr). As a top electrode, W shows a low thermal expansion coefficient inducing an in-plane tensile strain to HZO film during rapid thermal annealing, facilitating the formation of o-phase [3].

In this study, ferroelectric HZO films were deposited by the atomic layer deposition (ALD) technique on the TiN and W bottom electrode. At the same time, Ru and TiN were used as top electrodes. The 10-nm-thick HZO film deposited on the W electrode with TiN as a capping electrode showed a substantial increase in the 2Pr value (50.1μC/cm2), which increased by 31.4% compared to the case of conventional TiN/HZO/TiN films. Also, 10-nm-thick HZO film deposited on TiN electrode with Ru capping electrode showed an even higher 2Pr value (63μC/cm2) at 4.6MV. Detailed characterization results for the interface structure and possible origin for such improvements will be presented.

References

[1] Boscke, T.; Muller, S.; Brauhaus, J. D.; Schroder, U.; Bottger, U. Ferroelectricity in hafnium oxide thinfilms. Appl. Phys. Lett. 2011, 99, 102903.

[2] S. W. Lee et al., Coord. Chem. Rev. 257, 3154 (2013).

[3] R. Cao, Y. Wang, S. Zhao, Y. Yang, X. Zhao, W. Wang, X. Zhang, H. Lv, Q. Liu, M. Liu, IEEE Electron Device Lett. 2018, 39, 8, 1207.

AF10-6 Simulating Ultrasmooth, Pinhole-free Thin Films with a Monte Carlo Model of Nucleation and Growth
Diana LaFollette (University of Southern California); Kinsey Canova, John Abelson (University of Illinois at Urbana-Champaign)

The architecture of advanced microelectronic devices requires vacuum-deposited films that are only a few nm thick, pinhole-free, and smooth. However, film growth by ALD or low-temperature CVD often follows the Volmer-Weber mode that begins with nucleation of discrete islands that grow until the substrate is covered (“coalescence”). Film thickness and roughness at coalescence depend on several factors including the nucleation rate and the growth rate. To show the effects of these two parameters alone, we construct a Monte Carlo model that simulates film morphology as a function of (i) the nucleation rate of hemispherical islands at random positions on the bare surface and (ii) the constant film growth rate on those islands. Simulated films resemble AFM images of real films and reveal a remarkable power law relationship between the RMS roughness and the ratio of the nucleation and growth rates.

We next derive an analytical model based on Poisson point statistics at coalescence. It formally predicts the same power law trend that is observed in the Monte Carlo simulation. Both models estimate the required rates to grow a film within set limits of total thickness, roughness, and coverage.

Simulation results are compared to experimental data for HfB2 films during the onset of growth; these match closely during some time periods but not over the entire process. The deviations presumably arise from the simplifying assumptions in the Monte Carlo simulation, which includes no kinetic parameters apart from the constant nucleation probability and growth rate. Therefore, the simulation can also be used as a tool to indicate the likely presence of additional kinetic factors, e.g., surface diffusion, in the growth process.

View Supplemental Document (pdf)
AF10-7 Revisiting Process Optimization in Atomic Layer Deposition: Going Beyond Growth Rate
Elham Rafie Borujeny, Kenneth Cadien (University of Alberta)

Atomic Layer Deposition (ALD) is a promising nanofabrication technique for depositing thin layers of a wide range of materials with atomic-level control over thickness, remarkable uniformity over large areas, and exceptional conformality on patterned structures. Optimized ALD processes consist of self-limiting reactions that introduce reactants or precursors to the substrate surface one by one in a cycle resulting in formation of a monolayer of target material on the surface. Conventionally, such optimized ALD processes are achieved by growing a number of trial films while varying the dose and the purge timings of the ALD cycle and obtaining growth per cycle (GPC) in each case. Once a stable GPC is obtained, the corresponding dose and purge timings are considered to be optimized and ensure self-saturation. One of the limitations of such GPC-based optimization strategy is that it requires significant resources (energy, material, and time) to repeat the experiments and obtain optimized cycle parameters in all deposition temperatures or conditions. In this work, we demonstrate a fast and versatile strategy to obtain self-saturating ALD cycle parameters at any deposition temperature or condition without needing to grow trial ALD films and obtaining GPC. Through a few examples, we show that our optimization approach results in self-saturating cycle parameters consistent with the GPC-based optimization approach and makes the resources available to obtain not only self-saturating ALD process parameters but also process conditions that are fine-tuned to obtain films with superior structure, property, and functionality. We also demonstrate that our optimization approach can provide a unique tool to investigate and design in-situ doping strategies for ALD films. Examples of successful doping of ALD films of emerging materials by using our approach are also provided.

AF10-8 Surface Reaction of Nb2O5 RT-ALD Explained by Using Quartz Crystal Microbalance Measurements
Kazuki Yoshida, Kentaro Saito, Masanori Miura, Kensaku Kanomata, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University)
Metal oxides are used as protective layers for metal components, organic electronics, and packaging films. Niobium pentoxide (Nb2O5) has been studied as protective layers for the cathode of the fuel cells. The ALD coated Nb2O5 exhibits excellent anti-corrosion[1]. However, a high-temperature process over 200 °C was necessary in the conventional technologies. Previously, we reported the room temperature (RT) ALD process of Nb2O5[2], but we have not discussed the detailed surface reaction in the RT-ALD process. In the present study, we use a quartz crystal microbalance measurement (QCM) to investigate its surface reaction, which enables the monitor of the quartz crystal surface mass variation during ALD. It is confirmed that the surface mass increased in the step-by-step mode, suggesting that the Nb2O5 was deposited in ALD mode. In this study, we discuss the surface reaction in the Nb2O5 RT-ALD from the surface mass variation.
A schematic of the RT-ALD surface mass variation monitoring system with a QCM sensor is shown in Figure 1. We used plasma excited humidified Ar as an oxidizing gas, tert(butylimido)tris(ethylmethylamido)niobium (TBTEMN) as precursors of Nb2O5. Any heaters or thermal sources were not installed to the chamber wall. TBTEMN container was warmed up around 80 °C to promote the niobium precursor evaporation. The exposure time of TBTEMN was 50 s, plasma excited humidified argon was 615 s. The evacuation steps of 180 and 60 s were inserted between TBTEMN and the plasma excited humidified argon exposure. For the QCM measurement, we used an ALD sensor (#750-717-G2, Inficon) with AT-cut quartz crystals which a resonance frequency was 6 MHz. The sampling rate was 2 Hz. The surface mass variation during the whole process was recorded in a data logger as voltage variation.
We confirmed the surface mass variation during 10 cycles of ALD. The mass variation curve exhibits the stepwise increase that indicates the ALD growth mode. To discuss the surface reaction in this process, we picked up a typical mass variation curve in 10 cycles, as shown in figure 2. The surface mass varied during the precursor adsorption and surface oxidization period. The number of reacted ligands of the precursor adsorption period is estimated as 2 or 3 from a mass variation ratio during one ALD cycle. In the conference, we propose a surface reaction model of Nb2O5 RT- ALD.
[1] M. D. Anderson, B. Aitchison, D. C. Johnson, ACS Appl. Mater. Interfaces 2016, 8, 30644−30648.
[2] K. Yoshida, K. Tokoro, K. Kanomata, M. Miura, K. Saito, B. Ahmmad, S. Kubota, and F. Hirose, Journal of Vacuum Science & Technology A, 37, 060901, 2019.
View Supplemental Document (pdf)
AF10-9 Ir Studies on Low-Temperature Atomic Layer Deposition of Aluminum Nitride Using Plasma Excited Ammonia
Kentaro Saito, Kazuki Yoshida, Kensaku Kanomata, Masanori Miura, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (4-3-16 Jonan)

Aluminum nitride (AlN) has been attracting much attention since it is also expected to be used as a gas barrier for organic light emitting diodes (OLEDs). In the conventional technologies, depositions of AlN were examined by chemical vapor deposition (CVD) and atomic layer deposition (ALD), although these were performed at a temperature of 200 °C and higher. A low-temperature deposition is demanded for flexible electronics since the OLEDs are not torelant to the high-temperature processes. In this study, the low-temperature ALD of AlN using trimethylaluminum (TMA) and plasma-excited ammonia is examined. We aim to modelize the surface reactions of the low-temperature AlN ALD with multiple internal reflection infrared absorption spectroscopy (MIR-IRAS).

A p-type Si substrate was used as a sample with a form of prism for MIR-IRAS. The size of the sample prism was 10×48 mm2 whose resistivity was 10 Ωcm. The sample prism was set in an ALD reaction chamber. The prism was heated at 160 °C with a direct current passing. In the nitridation step, ammonia and argon were mixed with a volume ratio of 7 : 3, followed by being excited in a quartz tube with an RF power of 250 W and a frequency of 13.56 MHz. The flow rate of the mixed gas was 10 sccm. Ammonia molecules in the mixture were dissociated to NH radicals and fragments for the nitridation.

We observed the surface reaction to confirm the TMA adsorption on the AlN surface at 160 °C and examine if the plasma-excited ammonia causes nitridation on the TMA adsorbed surfaces. Fig. 1 shows IR absorbance spectra, measured from the TMA adsorbed surface with TMA exposures from 1000 to 200000 L at 160 °C. The presence of positive peaks at 2941, 2899, and 2830 cm-1 indicates that TMA was adsorbed on the surface at 160 °C. Fig. 2 shows IR absorbance spectra measured from plasma excited ammonia treated TMA adsorbed surface. The negative peaks at 2941, 2899, and 2831 cm-1 indicate the degeneration of hydrocarbon, which might be due to the nitridation. From this observation, we designed the process of AlN ALD and confirmed the AlN deposition by X-ray photoelectron spectroscopy. In the conference, we discuss the reaction model of AlN deposition based on the IR absorption spectra and XPS analysis.In the conference, we discuss the surface reaction of the low-temperature AlN ALD.

View Supplemental Document (pdf)
AF10-10 Atomic Layer Deposition of SiO2 using BDEAS and O3 as Precursor
Yu-Hsuan Yu, Chi-Chung Kei (Taiwan Instrument Research Institute, National Applied Research Laboratories)

Silicon dioxide (SiO2) is a common dielectric material in silicon microelectronic devices owing to its relatively low dielectric constant and large band gap. Science the size of microelectronic devices become smaller as the complexity of structure increase, the requirement on thickness and uniformity of SiO2 coatings become stricter. Atomic layer deposition is known for precise thickness control and excellent step-coverage, which is a good candidate to fabricate SiO2 thin film. In this study, We deposited silicon oxide (SiO2) film by ALD using bis(diethylamino)silane (BDEAS) and ozone (O3) as precursors. The SiO2 film was deposited at several different temperatures which from 200℃ to 350℃. The thickness of SiO2 was measured by ellipsometer and TEM. The growth rate of SiO2 is from 0.13 (200℃) to 0.93 (350℃) Å/cycle.

AF10-11 Effect of Plasma Time in Plasma-Enhanced Atomic Layer Deposition on Wet Etching Properties of Aluminum Nitride
Heli Seppänen, Harri Lipsanen (Department of Electronics and Nanoengineering, Aalto University)

Aluminum nitride (AlN) is a material with a combination of piezoelectric lattice, high direct bandgap and high dielectric constant, which makes it interesting for a wide range of applications in modern technology [1, 2, 3, 4]. Thin films of AlN can be grown with atomic layer deposition (ALD) with both thermal and plasma-enhanced (PEALD) processes. Patterning the AlN film is often required to achieve the wanted structure, however wet etching of ALD AlN is not a well-studied topic. ALD AlN films commonly have a lower crystal quality in comparison with other deposition techniques, e.g. sputtering, and are thus easier to wet etch with safer chemicals [5]. As different process parameters affect the properties of the deposited film, the effect on etch rate is unknown.

In this study the effect of ion bombardment during the growth of the AlN film on the etching properties is investigated by comparing thermally grown AlN to PEALD grown AlN with different plasma times. The AlN films are characterized using spectroscopic ellipsometry and X-ray diffraction (XRD). The etchant is developer AZ 351B diluted in DIW, a solution commonly used in photolithography. The results show a difference in the etch rates between different plasma times; AlN film grown thermally is etched 1,9 nm/s whereas the etch rate of a film with a 15 s plasma exposure time is 0,9 nm/s and 0,6 nm/s with a film with a total 35 s plasma exposure time per cycle. This result is in accordance with previous study on the influence of plasma exposure time during growth of ALD film [6]. The decrease in the wet etch rate can also be predicted from the XRD scan, as the crystallinity is enhanced by the applied plasma time; the thermal sample is amorphous, and the crystallinity is enhanced by extended plasma exposure time.

References:

[1] F. Pop et al., IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 67, 11, 2336-2344 (2020)

[2] M. Mariello et al.," IEEE Transactions on Nanotechnology, 20, 10-19 (2021)

[3] D. D. Fischer et al., J. Vac. Sci. Technol. A 38:2

[4] T. Takano et al., Appl. Phys. Express 10 031002 (2017)

[5] D. Zhuang, J.H. Edgar, Mater. Sci. Eng. R Rep. 48, 1, 1-46 (2005)

[6] K. Arts et al., Appl. Phys. Lett. 117, 031602 (2020)

View Supplemental Document (pdf)
AF10-12 ALD of TiO2 using a Titanium Precursor with a Linked Amido-cyclopentadienyl Ligand: A Density Functional Theory Study
Romel Hidayat, Hye Lee Kim, Yeongchan Choi (Sejong University); Jang-Hyeon Seok, Jung Woo Park (Hansol Chemical); Won-Jun Lee (Sejong University)

Titanium oxide (TiO2) has been an attractive material with interest for various applications, including photocatalysts, optical coatings, and the high-permittivity dielectrics of DRAM capacitors. The atomic layer deposition (ALD) technique is used to deposit thin films with excellent step coverage, accurate thickness control, and excellent film quality. One of the most common precursors for the ALD of TiO2 was tetrakis(dimethylamido) titanium (TDMAT). However, TDMAT showed low ALD temperatures because of its insufficient thermal stability. In general, the higher the deposition temperature, the better the physical and electrical properties of the dielectric film. Therefore, we need a Ti precursor with excellent thermal stability and reasonable reactivity to increase the ALD temperature. Thermal stability of the Ti precursor can be improved by introducing a cyclopentadienyl (C5H5, Cp) ligand, and tris(dimethylamido)cyclopentadienyl titanium (CpTi(NMe2)3) is more thermally stable than TDMAT [1]. We reported a Ti precursor, (2-(N-methylamido)1-methyl(ethyl-cyclopentadienyl))bis(dimethylamido) titanium (CMENT), designed to further improve the thermal stability of CpTi(NMe2)3 by linking a Cp ligand to an amido ligand [2]. In this study, we compared CMENT and CpTi(NMe2)3 by deposition experiments and density functional theory (DFT) calculations. CMENT showed higher ALD TiO2 process temperature than CpTi(NMe2)3. The DFT simulation of thermolysis and hydrolysis of Ti precursors confirmed the better thermal stability and reactivity with hydroxyl groups of CMENT. The chemisorption of Ti precursors on a hydroxylated TiO2 surface was also simulated. For CMENT, the linked ligand may remain on the surface after chemisorption. In contrast, after chemisorption of CpTi(NMe2)3, only a fraction of Cp would remain on the surface. Therefore, the introduction of a linked ligand enables the ALD process at higher temperatures compared to the introduction of a Cp ligand.

[1] J.-P. Niemelä et al., Semicond. Sci. Technol. 32, 093005 (2017).

[2] S. Kim et al., AVS ALD Conf. 2018, AA-MoP53.

AF10-13 Atomic Layer Deposition of Silicon Oxide Using a Silylamine Precursor and Ozone
Heeju Son, Yeongchan Choi, Hyunmin Han, Hyeonsu Roh, Yewon Kim, Won-Jun Lee (Sejong University)

To overcome the scaling limit of planar semiconductor devices, three-dimensional structures have been introduced, and new process technologies have been applied. The method of forming silicon oxide (SiO2) thin film has been shifting from the conventional chemical vapor deposition (CVD) methods to atomic layer deposition (ALD) technologies for excellent step coverage and film characteristics at low process temperatures. Various silicon compounds have been studied as the precursor for the ALD of SiO2, and the reported Si precursors can be categorized into chlorides and aminosilanes. Chloride precursors exhibit good film properties, but their low reactivity requires a large supply. Aminosilanes are more reactive than chlorides, but they have poor thermal stability and low growth rates. Silylamine compounds were investigated as the silicon precursor for the ALD of silicon nitride thin films, and superior film properties and step coverage were reported compared to aminosilane [1]. However, the study on the ALD SiO2 using silylamine compounds was rare. In the present work, we studied the ALD SiO2 using a silylamine compound, bis(dimethylaminomethylsilyl) trimethylsilyl amine (DTDN2-H2), as the silicon precursor. Ozone was used as the co-reactant. The maximum ALD temperature was 400°C and the growth rate of the thin film was 1.34Å/cycle at 400°C. The ALD SiO2 films were stoichiometric pure SiO2 and showed excellent step coverage. Raising the process temperature to 450°C improved the insulating properties of the deposited film but worsen the step coverage. The silylamine precursor could perform the ALD process with less supply at lower process temperatures than the chloride precursor. It also showed a higher growth thickness per cycle than the aminosilane precursor. We simulated the dissociative chemisorption of DTDN2-H2 on an OH-terminated SiO2 surface by density functional theory (DFT) calculation. Surface species formed by the chemisorption may contain three silicon atoms or one silicon atom, resulting in a higher growth rate than aminosilane precursors.

[1] J.-M. Park et al., ACS Appl. Mater. Interfaces 8, 20865 (2016).

AF10-15 Atomic Layer Deposition of Nanometer Thick Tungsten Nitride Using Anhydrous Hydrazine for Potential X-Ray Optics Application
Dan N. Le, Aswin Kondusamy, Su Min Hwang, Arul Ravichandran, Jaidah Mohan, Yong Chan Jung (University of Texas at Dallas); Daniel Alvarez, Jeff Spiegelman (RASIRC); Maxim Markevitch (NASA Goddard Space Flight Center); Jiyoung Kim (University of Texas at Dallas)

In this study, we establish a low-temperature atomic layer deposition process of high-quality ultrathin WNx films for applications like barrier layers of Cu metallization and X-Ray mirrors. Hydrazine has been extensively investigated as a nitrogen source at low temperature in place of conventionally used ammonia, due to its high reactivity.1 Hydrazine along with Bis(tebutylimino)bis-(dimethylamino)tungsten (VI) (BTBMW) is investigated for thermal ALD of tungsten nitride. We confirm that high quality WNx films, with a nano-crystalline structure, can be deposited at the low temperature window.

A home-built ALD chamber was employed to deposit WNx films at a temperature range of 250–350°C. Deposited films are analyzed by using an ellipsometer, atomic force microscopy, X-Ray diffraction spectroscopy, X-Ray reflectivity spectroscopy, and cross-sectional transmission electron microscopy.

The GPC of the deposited WNx films saturates with a short exposure time of hydrazine (0.1s). A GPC of 0.1 nm/cycle is observed at a temperature of 300°C, which is higher than the reported growth rate of WNx films using NH3 at the same temperature.2 The AFM images demonstrate a smooth surface roughness (~0.23 nm) of films deposited in the temperature range of 250–350°C. XRR determines that film density is as high as 13.0 g/cm3. An earlier report shows films deposited using NH3 exhibit crystalline phase after a 30-minute annealing process at 700°C.2 Interestingly, XRD measurements of films grown with hydrazine display crystallinity with highest intensity peak at 2θ value of 37.6°. It is suspected that, at low temperature, N2H4 provides an ideal condition for random distributed nucleation events to occur on the surface of the amorphous region per ALD cycle.3 ALD cycles continue to add mass to the nuclei after the formation of a nucleus allowing crystallite to grow.3 The resistivity of the deposited films ranges between 1.34x104–2.38x104 µΩ.cm. Based on the results of above, a stacking structure of WNx and one other metal nitride thin film (WNx and AlNx) is deposited on a SiO2 substrate. Such an alternating stack of nitride films with different densities could be applied as X-Ray mirrors. The experiment details and results will be presented.

1 D. Alvarez et al., ECS Trans. 72 (4), 243 (2016).

2 J.S. Becker et al., Chem. Mater. 15 (15), 2969 (2003).

3 D.M. Hausmann and R.G. Gordon, Jour. Cryst. Growth 249, 251 (2003).

View Supplemental Document (pdf)
AF10-19 Low Temperature ALD of Antimony Oxide
Jun Yang (Leibniz Institute for Solid State and Materials Research); Shiyang He (leibniz Institute for Solid State and Materials Research Dresden); Sebastian Lehmnann, Amin Bahrami, Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research)

Antimony oxide thin films can be used as etch stop layers, dopant source for ultra-shallow doping of silicon, as anode in various batteries, fire- and flame-retardant materials, etc. In this study we report the atomic layer deposition of SbxOy coatings using SbCl5, Sb(NMe2)3 as antimony reactants and H2O and H2O2 as an oxidizer at low temperatures. Homogenous antimony oxide deposition can be achieved on flat silicon wafers. SbCl5 can react with both oxidizers, while no deposition was found using Sb(NMe2)3 and H2O. Uniform deposition growth was found at 80 °C deposition temperature for all the systems. X-ray photoelectron spectroscopy results confirm formation of Sb2O3 as the main phase with some minority of Sb2O5 phase. The ratio of Sb2O3 to Sb2O5 is affected by the type of precursor and deposition temperature.

AF10-22 Development of Fast Response Flow Controlled Vapour Delivery System for ALD/ALE Applications
Krunal Girase, Hiroshi Nishizato, Tatsuya Hayashi, Masaya Hotta (HORIBA STEC, Co., Ltd.); Patrick Lowery P.E. (HORIBA Reno Technology Centre); Paul Totten (HORIBA Instruments Incorporated); Thomas Hoke (HORIBA Reno Technology Centre); Troy Freeman (HORIBA Instruments Incorporated)

Atomic layer processes, such as atomic layer deposition (ALD), atomic layer etch (ALE), and selective deposition/etch processes are becoming some of the predominant methods used to achieve new device geometry shrinks with smaller lithographic nodes. The chemical vapors used in ALD processes have to be delivered at a constant concentration per pulse. In the present study, a fast response flow-controlled vapor delivery system using a piezoelectric actuated electromechanical valve was developed and implemented for ALD application. This valve shows a response time of < 1 ms for ON/OFF pulsing and < 10 ms for flow control with the ability of programable waveform control. Due to fast flow control, this system reduces the waste of precursor by eliminating vent lines and increase the repeatability of vapor delivery per pulse. Both methods of vaporization: vapor drawer and bubbling method were demonstrated for vapor delivery to the ALD reactor and the results were found repeatable pulse to pulse. For the bubbling method of vaporization, an auto pressure regulator was used to control carrier gas pressure and hence to control the concentration of precursor vapor. A new self-contained, closed-loop design is being developed with piezoelectric-drive and an intuitive UI that will allow the end-user to be able to control variable pulse cycle for ALD/ALE applications.

AF10-25 Thermal Atomic Layer Deposition of Elemental Antimony at Room Temperature: Growth and Uniformity Studies
Majeda Al Hareri, David Emslie (McMaster University)

As feature sizes on devices continue to decrease, atomic layer deposition has become an invaluable tool for the fabrication of highly uniform and conformal thin films with nano-scale control of film thickness. Additionally, the utilization of low temperature thermal ALD techniques can aid in obtaining continuous films of low-melting metallic films that often observe issues with film continuity at low thicknesses as a result of agglomeration.1,2 In 2011, the first elemental non-metal thermal ALD process was reported, which involved the use of tris(triethylsilyl)antimony (Sb(SiEt3)3) and antimony trichloride (SbCl3) to produce thin films of elemental antimony through novel dehalosilylation surface chemistry.3 Due to the fact that antimony has a low melting point, and is a common component in various micro- and nanomaterials,4,5 reduction of the deposition temperature in this process may prove beneficial as a means to improve nucleation and prevent agglomeration.

Switching to the smaller and more volatile methyl derivative, Sb(SiMe3)3, allowed for a substantial decrease in the precursor delivery and deposition temperatures, as well as an improvement in nucleation on H-terminated silicon and SiO2. We present the first report of room temperature thermal ALD of a pure element, and an investigation of the effects of substrate temperature and pulse durations on the uniformity of the deposited films at low thicknesses (< 10 nm).

1. Thompson, C. V. Solid-State Dewetting of Thin Films. Annu. Rev. Mater. Res. 2012, 42, 399-434.

2. Srolovitz, D. J.; Goldiner, M. G. The Thermodynamics and Kinetics of Film Agglomeration. Journal of the Minerals, Metals & Materials Society 1995, 47, 31-36.

3. Pore, V.; Kapas, K.; Hatanpää, T.; Sarnet, T.; Kemell, M.; Ritala, M.; Leskelä, M.; Mizohata, K. Atomic Layer Deposition of Antimony and its Compounds Using Dechlorosilylation Reactions of Tris(triethylsilyl)antimony. Chem. Mater. 2011, 23, 247-254.

4. Harmgarth, N.; Zörner, F.; Liebing, P.; Burte, E. P.; Silinskas, M.; Engelhardt, F.; Edelmann, F. T. Molecular Precursors for the Phase-Change Material Germanium-Antimony-Telluride. Z. Anorg. Allg. Chem. 2017, 643, 1150-1166.

5. Goodilin, E. A.; Weiss, P. S.; Gogotsi, Y. Nanotechnology Facets of the Periodic Table of Elements. ACS Nano 2019, 13, 10879-10886.
AF10-28 Impact of Different Intermediate Layers on the Morphology and Crystallinity of TiO2 Grown on Carbon Nanotubes by Atomic Layer Deposition
Jiao Wang, Zhigang Yin, Emil List-Kratochvil, Nicola Pinna (Humboldt-Universität zu Berlin)

Nanocomposites of TiO2 and carbon nanotubes (CNTs) have been extensively studied in photocatalysis, electrochemical sensing and energy storage over the last decade. The unique properties of these nanocomposites are greatly dependent on the morphology, crystallinity and homogeneity of the TiO2 films. However, a fine control of the film microstructure is still challenging due to a lack of understanding of the early stages of the TiO2 growth. The presence of an intermediate buffer layer can cause remarkable changes in the morphological and structural properties of the coatings. Here, TiO2 films deposited by atomic layer deposition (ALD) on CNTs without and with different intermediate layers (Al2O3 and ZnO) have been systematically investigated. Compared to bare CNTs, it is suggested that these two intermediate layers with higher surface energy can lead to a delay of the TiO2 crystallization, ultimately leading to the growth of conformal crystalline TiO2 films. This study demonstrates a strategy to tailor the microstructure and the properties of thin-films via ALD by applying intermediate layers, and provides information about the role of surface energy of the substrate on crystallization and growth behavior of ALD thin films.

AF10-31 Growth, Intermixing and Composition Control of Atomic Layer Deposited Zinc Tin Oxide
Poorani Gnanasambandan, Renaud Leturcq (Luxembourg Institute of Science and Technology (LIST))

Zinc tin oxide being abundant and non-toxic has varied applications from gas sensors, thin film transistors, to window and buffer layers in thin film solar cells, etc. The synthesis of zinc tin oxide (ZTO) by atomic layer deposition (ALD) has been widely studied with different Sn precursors and reactants [1][2]. Atomic layer deposition of ternary materials by mixing two binary ALD processes has its challenges [3]; the composition and growth rates of ternary materials deviate from that of the binary processes due to various factors, including the interaction between different precursors.

Previous studies aimed to understand deeper the ZTO ALD processes, by investigating the underlying surface chemistry of the precursors, influence of substrate temperature and constructing analytical models from observed deviations in the growth rate [4][5][6]. Still there remains a need for a consistent model that explains the nonidealities observed in the growth of ZTO by ALD under various processing conditions.

We study atomic layer deposited Zn1-xSnxO with x varying from 0.1 to 0.4 by optimizing the growth conditions with varying deposition temperatures and supercycle parameters such as pulse ratios and bilayer period. We analyze the deviation of growth rate and composition of ZTO from the respective binary ALD processes. We find that the composition of [Sn]/([Sn]+[Sn]) has a strong dependence on the deposition temperature in contradiction to Ref. [6]. We aim to achieve precise control over the composition and demonstrate the effect of doping on the band alignment, electrical and optical properties.

[1]. Lee, Yun Seog, et al. "Ultrathin amorphous zinc-tin-oxide buffer layer for enhancing heterojunction interface quality in metal-oxide solar cells." Energy & Environmental Science 6.7 (2013): 2112-2118

[2]. Salome, Pedro MP, et al. "Influence of CdS and ZnSnO Buffer Layers on the Photoluminescence of Cu(In, Ga)Se2 Thin Films." IEEE Journal of Photovoltaics 7.2 (2017): 670-675.

[3]. Markus, Adriaan JM, et al. "Synthesis of doped, ternary, and quaternary materials by atomic layer deposition: a review." Chemistry of Materials 31.4 (2018): 1142-1183.

[4]. Markus, Adriaan JM, et al. "Incomplete elimination of precursor ligands during atomic layer deposition of zinc-oxide, tin-oxide, and zinc-tin-oxide." The Journal of chemical physics 146.5 (2017): 052802

[5]. Mullings, Marja N., et al. "Thin film characterization of zinc tin oxide deposited by thermal atomic layer deposition." Thin Solid Films 556 (2014): 186-194.

[6]. Lindahl, Johan, et al. "The effect of substrate temperature on atomic layer deposited zinc tin oxide." Thin Solid Films 586 (2015): 82-87.

AF10-34 Hollow Cathode Plasma Enhanced Atomic Layer Deposition of Vanadium Oxide Films: in situ Ellipsometric Monitoring of Film Growth with TEMAV and Oxygen Plasma
Adnan Mohammad, Krishna D Joshi, S. Ilhom, D. Shukla, B. Willis, B. Wells (Uconn); A. K. Okyay (Stanford University); N. Biyikli (Uconn)

Vanadium dioxide is a bistate phase-change material that shows a low temperature phase transition from monoclinic to tetragonal rutile structure. The transition is called MIT (metal-insulator transition) which can be used in electrical and optical switching applications.There are several reports in the literature showing thermal atomic layer deposition (ALD) of vanadium oxide with TEMAV and VTIP precursors and water or ozone as co-reactant. The reported as-grown vanadium oxide films are mostly amorphous, which are typically annealed at high substrate temperatures (> 600 ℃) to achieve crystalline films. However, no significant report is yet found on VOx films grown by plasma ALD, where O2 plasma is used as the coreactant.

In this work we report on the low-temperature crystalline VOx deposition in a hollow cathode plasma reactor featuring in situ ellipsomteric monitoring, in which we utilized TEMAV and O2 plasma as the metal precursor and co-reactant, respectively. The parameters used for the plasma ALD experiments are 0.1 s of TEMAV pulse with 10 sccm of N2-carrier flow, 50 sccm Ar-purge for 10 sec, 50 sccm O2 plasma for 10 s, plasma power 50W-300W and finally another 10 s of Ar purge. In addition, the TEMAV precursor cylinder and line is heated at 110 °C to provide sufficient amount of precursor vapor into the growth chamber. We found a maximum growth per cycle (GPC) of 1.1 Å from in situ ellipsometry measurements. The x-ray diffraction (XRD) measurements revealed crystalline V2O5 phase for the as-deposited films and the ex situ ellipsometry showed a refractive index of 2.45. The next step is to anneal the as-grown films to achieve VO2 and to do temperature-dependent electrical and structural characterization of the VO2 samples to confirm the MIT character of the films. View Supplemental Document (pdf)
AF10-37 Combined Fabrication and Testing System for Atomic Layer Deposition Microchannel Plates
Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA)

Microchannel plates (MCPs) are two dimensional solid-state electron multipliers consisting of a thin plate permeated with millions of parallel, micron-scale, high aspect ratio pores.Each pore acts independently to amplify electron signals incident on the front surface allowing MCPs to be utilized in imaging detectors for astronomy, high-energy physics, medical imaging (PET scanner), homeland security and the military (night vision devices).Over the last decade, we have researched and developed MCPs fabricated by depositing ALD nanostructured coatings on high aspect ratio (40-100), high porosity (>65%) glass microcapillary array (MCA) substrates. These ALD MCPs have many advantages over MCPs manufactured by conventional methods.This experience taught us that achieving uniform, precise coatings on these complex MCA substrates is challenging and time consuming. To streamline ALD MCP process development and to enable systematic studies of MCP structure and function, we constructed an integrated system which combines a viscous flow ALD reactor for coating high aspect ratio MCA substrates with a sample transfer chamber and high voltage MCP testing chamber, both of which are maintained under high vacuum. This combined system allows us to measure critical MCP metrics, such as gain and pulse height distribution, after each ALD precursor exposure to map out the MCP performance as a function of the ALD film thickness and composition.Critically, these measurements are performed without exposing the MCP to air which avoids the inadvertent formation of surface hydroxides, carbonates and other contaminants that can alter the materials properties such as the secondary electron emission (SEE) of the MCP surface.To our knowledge, this is the first integrated system combining ALD MCP fabrication and testing.Our initial tests used 33mm diameter borosilicate glass MCAs (Incom, Inc.) as MCP substrates that we first functionalized using an ALD nanocomposite tunable resistive coating.Next, we performed Al2O3 ALD using trimethyl aluminum and H2O to deposit the SEE layer and measured the current-voltage characteristics as a function of the ALD Al2O3 film thickness. We used these measurements to extract the gain and SEE values which agree with previous measurements for ALD Al2O3 thin films. We believe this new system will reduce ALD MCP process development times by a factor of 10 and may be suitable for ALD process development in vertical interconnect access (VIA) substrates (e.g. TSV, TGV and TPV) for vertically integrated semiconductor microelectronics devices.

Session Abstract Book
(400KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule