ALD2019 Session ALE1-MoA: Energy-enhanced ALE

Monday, July 22, 2019 1:30 PM in Regency Ballroom A-C

Monday Afternoon

Session Abstract Book
(295KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
1:30 PM ALE1-MoA-1 Atomic Layer Etching – Advancing Its Application with a New Regime
Samantha Tan, Wenbing Yang, Keren J. Kanarik, Yang Pan, Richard Gottscho (Lam Research Corp.)
Continued shrinking of device dimensions has placed extreme requirements on plasma etching technology, making it increasingly challenging to faithfully transfer patterns with nanometer-sized features. To address this nanoscopic challenge, atomic layer etching (ALE) has been successfully used to extend conventional etch technology and some critical processes have been implemented in high-volume manufacturing (HVM) [1]. To be adopted for more applications, ALE must further overcome both productivity and technical limitations. Directional ALE typically operates in a low energy (< 100 eV) regime which results in relatively low etch rates (~5 Å /cycle). Productivity can be improved by engineering hardware to increase switching speed – but there is room for improvement. In addition, ALE faces technical limitations in applications where synergy is < 100 % which can result in sidewall etching. This is particularly problematic for maintaining a directional etch profile in high aspect ratio features. In this talk, we will present results obtained using a new operating regime that has the potential to meet the productivity and technical challenges for ALE while retaining its inherent benefits: low damage, smoothing, aspect ratio independence, and selectivity [2, 3].

[1] Lam Research Corporation, September 2016. [Online]: https://investor.lamresearch.com/news-releases/news-release-details/lam-research-introduces-dielectric-atomic-layer-etching. >

[2] K. Kanarik, S. Tan, and R. A. Gottscho, J. Phys. Chem. Lett. 2018, 9, pp. 4814−4821>

[3] Michael Koltonski, Wenbing Yang, Craig Huffman, Mohand Brouri and Samantha Tan, “Opportunities and Challenges Utilizing Atomic Layer Etch for Lead Edge Technology Metal Line Widths”, SPIE Advanced Lithography Conference, San Jose, CA, Feb 24-28, 2019>

2:00 PM ALE1-MoA-3 Control of the Interface Layer in ALE Process by Alternating O2 Plasma with Fluorocarbon Deposition for High Selectivity Etching
Takayoshi Tsutsumi, Akiko Kobayashi (Nagoya University, Japan); Nobuyoshi Kobayashi (ASM Japan K.K., Japan); Masaru Hori (Nagoya University, Japan)

Our research group developed a process for atomic layer etching of an SiO2 film using alternating nanometer-thick fluorocarbon film deposition and O2 plasma irradiation [1]. This process allows the atomic scale etching of SiO­2 with high reproducibility because of removing extra carbon on surface and cleaning chamber walls by O2 plasma. The ALE process could have benefits for etching SiO2 selective to Si3N4 if we actively control the chemistry in the mixture region between Si-compounds and fluorocarbon, and suppress the oxidation of Si3N4 by O2 plasma.

In this time, the ALE process was performed to a SiO2 and Si3N4 deposited by ALD process in a capacitively coupled plasma (CCP) reactor. A 100-MHz electrical power of 100 W was applied to the upper electrode at a pressures of 2.0 Pa. The wafer temperature was set at 20°C. For the deposition process, C4F8/Ar plasma was used to form a fluorocarbon film. Figure 1 shows the C 1s spectra of a SiO2 and Si3N4 after the deposition processes. The C 1s spectrum of SiO­2 after the deposition process exhibits C-C, C-CFx, CF, CF2, and CF3 peaks. On the other hands, the spectrum of Si3N4 shows an increased in the fraction of the C-C bond and exhibits the Si-C bond. Higher fraction of the C-C and forming Si-C and C-N bonds in the mixture regions lead to etching SiO­2 selective to Si­3N4. Higher F/C ratio in fluorocarbon film is required for etching SiC compared to SiO2 and Si3N4 because of etching products of SiF4 with very little SiF and SiF2[2]. Moreover, higher bond energies of CN, which are C-N of 305 kJ/mol, C=N of 615 kJ/mol and C≡N of 887 kJ/mol, could suppress oxidation of SiN by control of ion energy and wafer temperature. If the oxidation by O2 plasma is suppressed, our ALE by alternating fluorocarbon deposition and O2 plasma could apply to industry process for next-generation devices due to high controllability and reproducibility. We analyze the depth profiles of atomic concentrations in the mixture regions for a SiO2 and Si3N4 by angle resolved X-ray photoelectron spectroscopy (XPS) to control chemistry of the mixture regions by some knobs such as ion energy and surface temperature.

[1] T. Tsutsumi et al., J. Vac. Sci. Technol. A 35, 01A103 (2017)

[2] H. Winters et al., J. Vac. Sci. Technol. B 1, 927 (1983)

View Supplemental Document (pdf)
2:15 PM ALE1-MoA-4 Self-limiting Atomic Layer Etching of SiO2 using Low Temperature Cyclic Ar/CHF3 Plasma
Stefano Dallorto (Lawrence Berkeley National Laboratory); Andy Goodyear, Mike Cooke (Oxford Instruments Plasma Technology, UK); Scott Dhuey (Lawrence Berkeley National Laboratory); Julia Szornel (Lawrence Livermore National Laboratory); Ivo Rangelow (Ilmenau University of Technology, Germany); Stefano Cabrini (Lawrence Berkeley National Laboratory)

Single digit nanometer semiconductor manufacturing is increasingly demanding atomic scale process controllability to further decrease critical dimensions and pitches. High etching precision and material selectivity become essential in the atomic scale era. Plasma based atomic layer etching (ALE) shows promise to attain atomic etch precision, enhancing energy control and reaction chemistry control.

Here we study a Fluorocarbon(FC)-based ALE process for controlling the etching of silicon dioxide at the atomic level. Figure 1 shows the schematic of atomic layer etching process using Ar plasma and CHF3 gas. In this technique, an Ar plasma is maintained continuously through the process, below the energy threshold for SiO2 sputtering. A fluorocarbon chemistry is then introduced via CHF3 pulsing to provide the reactant absorption. Subsequently, once the gas pulse has concluded, bias power is introduced to the Ar plasma, to provide enough energy to initiate reaction of the FC with the SiO2. In ideal ALE, each of the steps is fully self-limiting for over exposure to increase uniformity on the microscale (wafer) and atomic scale.

With the goal of achieving self-limiting FC-based ALE, we investigated the etch step using low energy Ar ion bombardment. By carefully tailoring the energy of ion bombardment, it is possible to control the etching depth to approach a self-limiting behavior. The impact of various process parameters on the etch performance is established. We demonstrated that the SiO2 amount etched per cycle (EPC) is strongly affected by the forward bias plasma power, as well as the substrate temperature (Figure 1(a)). The substrate temperature has been shown to play an especially significant role, at -10 °C the contributions to chemical etching coming from fluorine and fluorocarbon compounds from chamber walls are minimized and a quasi-self-limiting behavior ALE is observed.

Figure 1(b)-(f) showed the Cr features after being etched for 60 ALE cycles with the optimal ALE self-limiting conditions. Feature trenches vary from 20-200 nm and were defined using metal lift-off. Overall, using the cyclic CHF3/Ar ALE at -10 °C, we reduced geometric loading effects during etching and reached aspect ratio independent etching, with great potential for significant improvement in future etching performances.

View Supplemental Document (pdf)
2:30 PM ALE1-MoA-5 Evolution of Photoresist Layer Structure and Surface Morphology in a Fluorocarbon-Plasma-Based Atomic Layer Etching Process
Adam Pranda, Kang-Yi Lin, Sandra Gutierrez Razo, John Fourkas, Gottlieb S. Oehrlein (University of Maryland)

The impact of continuous-wave plasmas in realizing a pattern transfer process with a Ar/fluorocarbon composition on photoresist etching behavior and surface roughness development has been extensively studied.1 However, the characteristics of photoresists under atomic layer etching (ALE) processes have not been well established. Specifically, the structure and morphology of the photoresist layer is dependent on the interplay between energetic ion bombardment and the diffusion of reactive species at the surface. For evaluating these photoresist properties, we used an ALE process with an Ar carrier gas and a fluorocarbon (FC) precursor gas, for example C4F8.2

For sample characterization, we utilized a combination of real-time, in situ ellipsometry and post-process surface roughness and surface chemistry analysis using atomic force microscopy (AFM) and x-ray photoelectron spectroscopy, respectively. The AFM characterization provided information on both the surface roughness magnitude as well as the distribution via a power spectral density analysis. Both an industry-standard 193 nm photoresist and an extreme ultraviolet (EUV) photoresist were evaluated.

Based on the ellipsometric characterization, we find that the 193 nm photoresist initially develops a surface dense amorphous carbon (DAC) layer from Ar ion bombardment of both the native photoresist and deposited FC, which contributes additional carbon to the DAC layer, increasing its thickness. Upon the FC deposition step in the ALE process, the refractive index of the DAC layer decreases due to fluorine diffusing into the layer structure. Corresponding AFM analysis shows a reduction in the surface roughness. Once the DAC layer becomes saturated with fluorine, a discrete FC layer forms on the surface. Subsequently, in the etching step, the discrete FC layer is removed, the DAC layer recovers its thickness, and the cycle repeats.

The authors gratefully acknowledge Eike Beyer for assistance with the experimental setup, R. L. Bruce, S. Engelmann, and E. A. Joseph for supplying the EUV materials, and financial support of this work by the National Science Foundation (NSF CMMI-1449309) and Semiconductor Research Corporation (2017-NM-2726).

1 S. Engelmann et al., J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 27, 1165 (2009).

2 D. Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014).

2:45 PM ALE1-MoA-6 Optimized Radical Composition of C4F8/Ar Plasma to Improve Atomic Layer Etching of SiO2
Young-Seok Lee, Jang-Jae Lee, Seung-Wan Yoo, Sang-Ho Lee, In-Ho Seong, Chul-Hee Cho, Si-Jun Kim, Jong-Pil Son, Shin-Jae You (Chungnam National University, Korea)
For the last decade, there was a big step in atomic layer etching (ALE) of SiO2 with fluorocarbon plasma. After a computer simulation suggested a surface modification method via fluorocarbon film deposition on SiO2 and its atomically thin removal, ALE of SiO2 was demonstrated experimentally using an inductively coupled fluorocarbon plasma. Ever since, there have been a lot of research trying to improve the ALE of SiO2. Meanwhile, it was also found that a fluorocarbon film deposited during deposition-based SiO2 ALE is formed from fluorocarbon neutral radicals as well as low-energy ions. Their compositions therefore are expected to play a significant role to determine characteristics of the deposited fluorocarbon film and, in the end, the result of ALE of SiO2. For an investigation into an optimized composition of the fluorocarbon plasma components, we measured neutral radical densities under various conditions using a quadrupole mass spectrometer and monitored SiO2 thickness changes during ALE in real time with in situ multi-wavelength ellipsometer. An improved SiO2 ALE process and possible improvement mechanisms will be presented.
3:00 PM ALE1-MoA-7 Atomic Layer Etching of Silicon Nitride with Ultrahigh Etching Selectivity over Silicon and Oxide Materials by Utilizing Novel Etch Gas Molecule
Xiangyu Guo (American Air Liquide); Nathan Stafford (Air Liquide); Venkateswara Pallem (American Air Liquide)
Silicon nitride etching with high selectivity over silicon and silicon oxide material, without or with minimal damage to the exposed surfaces, is one of the most critical processes in the fabrication of complementary metal-oxide-semiconductor (CMOS) devices. In this work, the authors propose a novel hydrofluorocarbon etch gas molecule for Si3N4 etching with ultrahigh etching selectivity over Si materials (p-Si, SiO2, SiON, SiCN, etc) based on atomic layer etching (ALE) cyclic process. Each cycle of the ALE process consists two independent unit process reactions: step A - polymer deposition and step B - removal step. The process was conducted in a home-built capacitively coupled plasma (CCP) chamber and was optimized on planar thin films first. CH3F was used as the process baseline. The authors show that novel gas molecule demonstrates significant improved performance in several aspects comparing to CH3F - ultrahigh selectivity, minimal damage, smoother surface after etching, higher ALE synergy, etc. The new molecule was also evaluated on patterned structure - Si3N4 gate spacer. The authors demonstrate the ALE process utilizing proposed novel hydrofluorocarbon molecule outperforms typical spacer process, in particular, with better etch profile control - the spacer footing can be reduced more than 70%, and with minimal nitride sidewall thinning. The authors also show that due to the ultrahigh etch selectivity, damages to Si substrate was minimized, as evidenced by further surface elements characterization - no formation of Si-C/SiO. Surface smoothing effect was also observed after the etch process with the novel gas molecule. Because of these advantages, the proposed novel hydrofluorocarbon etch gas is a very promising candidate for spacer patterning, to enable further CD and pitch downscaling of the CMOS device. View Supplemental Document (pdf)
3:15 PM ALE1-MoA-8 Atomic Layer Etching at Low Substrate Temperature
Gaëlle Antoun, Thomas Tillocher, Philippe Lefaucheux, Rémi Dussart (GREMI Université d'Orléans/CNRS, France); Kumiko Yamazaki, Koichi Yatsuda (Tokyo Electron Limited, Japan); Jacques Faguet, Kaoru Maekawa (TEL Technology Center, America, LLC)

Semiconductor industry has followed Moore’s law through years. Nowadays, industry and researchers are trying to reach nanoscale dimensions to continue the trend “beyond Moore’s Law”.

In this framework appeared atomic layer etching (ALE) where self-limited etching is performed, for instance, on SiO2, using C4F8/Ar plasma [1]. In this process, CFx monolayers are deposited on the surface before etching.

In order to perform ALE cycles without reactor wall contamination by CFx species, ALE process is proposed at low substrate temperature. In our experimental protocol, fluorocarbon gas such as C4F8, is physisorbed at the sample surface in a first step. Then, in a second step, etching is performed by Ar plasma.

The experiments were carried out in an inductively coupled plasma reactor. Tests were performed on three different samples (SiO2, Si3N4, and a-Si) that were glued on a carrier wafer. Then, they were cooled down to very low temperature by liquid nitrogen. In-situ spectroscopic ellipsometry was used to follow the layer thickness evolution of the central sample. The other samples were characterized ex-situ after the experiment. Surface roughness evolution before and after etching was checked by performing atomic force microscopy (AFM).

Finally, Langmuir probe and Quadrupole Mass Spectrometry (QMS) were used to better understand the involved mechanisms.

The aim of the first tests was to prove that etching occurs only at low temperature when using fluorocarbon gas flow. For that, the same etching test was performed at -120°C and few degrees above. At -110°C, etching is very limited, which shows that physisorption is not as significant as at -120°C. However, at ‑120°C, a few monolayers of C4F8 can be physisorbed and etching with Ar plasma has been observed thereafter.

Then, the main goals of this research was to reach self-limited etching regime and get an identical etching rate through cycles. To this end, the influence of various parameters of the process were examined. Different step times and pressures were studied to understand physisorption mechanisms. Temperature effect on species residence time was also evaluated using in-situ ellipsometry and QMS. In order to limit physical sputtering by ion bombardment and reach self-limited etching, different plasma parameters , such as self-bias, ICP power and step time, were studied. The etch per cycle is typically between 0.2 and 0.5 nm depending on the process parameters. The different steps of deposition, purge and etching can be clearly identified by ellipsometry.

Acknowledgments

The authors gratefully thank S.Tahara for his helpful discussions.

1. Metzler et al., J. Vac. Sci. Technol. A 32(2), 020603-1 2014

Session Abstract Book
(295KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule