AVS 70 Session PS-WeM: Plasma Modelling 

Wednesday, November 6, 2024 8:00 AM in Room 124
Wednesday Morning

Session Abstract Book
(345KB, Jul 23, 2024)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
8:00 AM PS-WeM-1 Challenges and Opportunities of a Holistic Approach toward Simulation-Assisted Plasma Etch Technology Development
Du Zhang, Yu-Hao Tsai (TEL Technology Center, America, LLC); Tetsuya Nishizuka (Tokyo Electron Miyagi Limited); Akiteru Ko, Peter Biolsi (TEL Technology Center, America, LLC)

Technological advancements in plasma etching crucially rely on the synergy of various areas of expertise due to its highly interdisciplinary nature, requiring subject matter knowledge ranging from plasma physics and surface chemistry, to transport, metrology, etc. Many simulation techniques exist, each targeting a different scope, and each with its own limitations and advantages; therefore, none should be considered the silver bullet. Nevertheless, from a pragmatic point of view, if the ultimate goal is to accelerate process and product development, the foremost priority is to identify the key issues and variables, then use suitable simulation tools to test out hypotheses and determine next action plans in an organized workflow. In this paper, focusing on the very thought process, we examine several examples of process and product development in which computational simulations provide insights to complement experimental techniques. We also discuss requirements for further simulation technology development in accordance with such industry needs.

8:15 AM PS-WeM-2 Some Lessons from Particle-in-Cell Modeling of Intermediate Pressure Capacitively Coupled Plasmas
Shahid Rauf, Abhishek Verma, Rupali Sahu, Nakul Nuwal, Kallol Bera (Applied Materials, Inc.)

Capacitively coupled plasmas (CCPs) are widely used for thin film etching and deposition in the semiconductor industry. The pressure in these plasmas varies over a broad range, from a few mTorr to tens of Torr. At low pressure, non-local phenomena are important in both plasma production and charged species transport, necessitating the use of kinetic or hybrid kinetic-fluid models for accurately capturing the CCP physics. Fluid plasma models are typically used at intermediate pressures (> 100s of mTorr). It is important to understand how well fluid plasma models capture the behavior of intermediate-pressure CCPs and what improvements in the fluid models would render them more accurate. 1-dimensional particle-in-cell (PIC) simulations are done for 13.56 MHz N2 and Ar CCPs between 100 mTorr – 1.5 Torr. Once the time-dependent simulation reaches steady state, the particle data is analyzed to obtain all the terms in the continuity, momentum conservation, and energy balance equations for the charged species. The potential and current in the plasma are also processed to determine the spatially resolved impedance in the plasma and sheaths. Comparison of PIC results to fluid plasma model under the same conditions and using the same plasma chemistry shows significant differences. This disparity between the two models can be linked to the assumptions about the electron energy distribution function (EEDF) and the electron transport coefficients in the fluid plasma model. In addition, the PIC simulations indicate that the EEDF is not spatially uniform. The drift-diffusion approximation appears reasonable for electron transport. However, it is necessary to solve the ion momentum equation as ion inertia effects are important. Analysis of the electron energy equation highlights that energy transport mechanisms such as the Dufour effect should be included in fluid plasma models.

8:30 AM Invited PS-WeM-3 Modeling to Inform Optimization of Radiofrequency Plasma Sources
Amanda Lietz, Cameron Wagoner, Syed Zulqarnain, Sk Azmaeen Bin Amir, Mohammad Sazzad Hossain (North Carolina State University)

Radiofrequency (RF) plasmas are essential for the processing of semiconductors, but also are also used in other applications, including medical treatments and auxiliary heating devices for fusion. In this work, we will discuss the role of computational modeling in informing the design and operation of RF plasmas sources, including examples of hydrogen inductively coupled and argon capacitively coupled plasmas. These plasma sources often operate in a regime where the commonly used fluid approximations (e.g. the local mean energy approximation) fail. Because there are insufficient collisions compared to the rate of acceleration by the electric field, the electron energy distributions are no longer a function of only local quantities like the electric field and the electron energy. First the failures of the fluid approach will be discussed with an example of particle-in-cell simulations investigating tailored voltage waveforms applied to argon capacitively coupled plasmas at the low pressures relevant for plasma etching (<20 mTorr). The fully kinetic approach is used for a detailed mechanistic understanding of the plasma dynamics in this system, though the timescales and chemistry are limited. The kinetic approach and results will be contrasted with fluid and hybrid modeling of hydrogen inductively coupled plasma sources for fusion. In this example, some fluid approximations are necessary to address neutral dissociation dynamics and flow timescales. These approximations also facilitate the rapid turnaround required in a design cycle. Finally, a new approach to use machine learning to incorporate non-local information into a fluid closure will be discussed and applied to a capacitively coupled plasma. As pressure decreases, an increasing amount of non-local information is required to obtain accurate fluid fluxes. The potential advantages and challenges of this approach will be discussed for a 1-dimensional, single frequency, capacitively coupled plasma.

9:00 AM PS-WeM-5 Stability Model for Capacitively Coupled Radiofrequency Argon Plasma at Moderate Pressures*
Omar Alsaeed (NCSU - Nuclear Engineering Department); Amanda Lietz (North Carolina State University); Benjamin Yee, Brett Scheiner, Meenakshi Mamunuru, Chenhui Qu (Lam Research Corporation)

Wafer-scale uniformity is essential in semiconductor manufacturing but can be disrupted by plasma instabilities due to energy transport phenomena that are not well understood. This work reports on the operational conditions that can lead to plasma instabilities and thus self-organization in plane-parallel radiofrequency capacitively coupled argon plasmas at moderate pressures (0.1-10 Torr). Plasma processing equipment often employs this simple configuration and striations in the direction transverse to the electric field have not been theoretically analyzed using a linear stability analysis. We use a fluid description that relies on the drift-diffusion approximation and includes the thermoelectric electron energy which proves essential in describing the pattern formation mechanism1 and is critical for plasmoid formation in capacitively coupled plasmas2. The equilibrium electron density and temperature solutions are derived using the inhomogeneous model for a capacitive discharge3. The ionization rates and electron transport coefficients are computed using a multi-term Boltzmann equation solver, MultiBolt4. A stability criterion dictating the allowed values of the thermoelectric electron energy transport coefficient as a function of discharge parameters is analyzed. Stable plasma processing windows are theoretically established as a function of background gas pressure, gas composition (He), and inter-electrode gap size.

1. Désangles, V., Jean-Luc R., Alexandre P., Pascal C., and Nicolas P., Phys. Rev. Lett. 123, 265001 (2019).

2. Bera, Kallol, Shahid Rauf, John Forster, and Ken Collins. J. of Appl. Phys. 129, 053304 (2021).

3. M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing: Lieberman/Plasma 2e. Hoboken, NJ, USA: John Wiley & Sons, Inc., (2005).

4. Flynn, M., Neuber, A., & Stephens, J., Journal of Physics D: Applied Physics, 55(1), 015201 (2021).

________________________________

* Work funded by Lam Research Corp.

9:15 AM PS-WeM-6 Plasma Modeling Guided Process Development and Optimization forHigh Density Plasma Sources
Qiang Wang, Gregory Hartmann, Patrick Conlin, Roberto Longo, Shyam Sirdhar, Peter Ventzek (Tokyo Electron America, Inc.)

High density plasmas have been demonstrated to be robust tools for advanced node logic/memory device fabrication. With a plethora of plasma operating parameters, optimizing an etch process is quite complex, requiring a significant investment of time and resources. Plasma modeling guided process development is aiming to provide clear and prompt guidelines in the development of etch recipes. We present our approach on pairing first principle-based multi-scale plasma modeling with advanced surrogate optimization techniques in selecting optimized pulsing recipes for conductor etch applications. The modeling framework integrates a zero-dimensional global model, a circuit/sheath dynamic model and a surface/etch model, allowing rapid calculations of in-chamber (e.g., ions and radicals densities and fluxes), on-wafer (e.g., Ion Energy and Angular Distribution) and in-feature (e.g., surface site coverage) during etching. An advanced methodology of surrogate modeling with a machine learning (ML) technique is applied to reduce computation time of simulation-based optimization and enhance prediction reliability. Using this integrated modeling approach, the pulsing sequence was optimized for a single objective (e.g., minimizing aspect ratio dependent etch, minimizing bow CD etc.), or to optimize trade-offs among multiple objectives. We will further demonstrate examples of applying the developed modeling framework in handling increasingly challenging problems in conductor etch applications and its validation with process experiments.

9:30 AM PS-WeM-7 Investigating Instabilities in Magnetized Low-Pressure Asymmetric Capacitively Coupled Plasma Sources
Sathya Ganta, Kallol Bera, Shahid Rauf (Applied Materials, Inc.); Igor Kaganovich, Alexander Khrabrov, Andrew Powis (Princeton University Plasma Physics Lab); Dmytro Sydorenko (University of Alberta); Liang Xu (Soochow University (SUDA), Suzhou, Jiangsu)
Asymmetric capacitively coupled plasma (CCP) chambers at low pressures (< 100 mTorr) are used in the semiconductor industry for thin film deposition and etch applications. Low pressure ensures low collisionality in the sheaths resulting in high energy ions. Low pressure plasma with relatively lower collisions diffuses towards the chamber center creating a center high non-uniformity in the deposition thickness or etch profile on the wafer. An external magnetic field can be used to make the plasma more uniform and mitigate the center high non-uniformity on the wafer. We performed an investigation previously of magnetized low pressure RF plasma in asymmetric CCP chambers using Particle in Cell (PIC) plasma model which indicated the possibility of instabilities at high magnetic field strengths (≥75 Gauss for 10 mTorr). These instabilities could adversely affect process uniformity on the wafer. This investigation was done at 40 MHz radio frequency (RF) with a vacuum gap between ground and RF powered electrodes. The effects of changing magnetic field strength, pressure, ground to RF powered electrode area ratio and an external capacitor were examined. The investigation provided guidelines for the upper limit of magnetic field strength to have an instability-free operation within the range of design and operating parameters studied. It was found that only pressure affected such upper limit of magnetic field strength as the increasing collisions dampened instabilities at higher pressure. In this paper, we continue the investigation in low pressure magnetized CCPs to observe the effect of changing frequency; dielectric boundary between electrodes instead of a vacuum boundary; and the chamber geometry on instabilities at high magnetic field strengths. The previous investigation showed waves of instabilities that had a continuous spectrum with peak frequency at around 1.9 MHz. We investigate if there is an enhancement in instabilities if the RF source frequency is around this peak frequency.
9:45 AM PS-WeM-8 Fast Kinetic Modeling of Magnetron Sputtering
Daniel Main, Thomas Jenkins (Tech-X Corporation); Joseph Theiss, Gregory Werner (University of Colorado Boulder); Scott Kruger, John Cary (Tech-X Corporation)

Magnetron sputtering devices have a wide range of uses in the coatings industry, where they are used for optical coatings, metallization in integrated circuits, and coatings for wear resistance.Numerical simulations can aid in the design of such systems, as computation can allow one to predict the figures of merit, eliminating the need to test each conceived configuration on the path to the final design. Such figures of merit include the uniformity and extent of the distribution of sputtered material, the power consumption of the system, and the erosion of the cathode. Such computations must be self-consistent and kinetic, i.e., they must follow particle trajectories, since fluid approximations make simplifying assumptions that may not be valid (especially at low pressure) and only a kinetic approach can properly account for the critical physics, including the energy-angle distribution of the impacting sputterers and the resulting distribution. The Particle-In-Cell, Monte Carlo Collision (PIC-MCC method) is ideal for this, as it can properly account for the above physics as well as the physics involved in plasma creation, electron trapping by the magnetic field, and even dynamics that occurs in High Power Impulse Magnetron Sputtering (HIPIMS). Unfortunately, these calculations can take significant computing time, as the time scales for relaxation to steady-state are long compared with plasma processes. This talk presents computations using multiple techniques to speed up these calculations. The methods include using a circuit model that allows one to rapidly reach the final state, steady-state relaunch (where the results of one simulation that has reached steady-state are used to initialize another), and physics minimization, where initial analysis using a global model minimizes the number of included collisional processes. We also present a method that reduces the calculation of the deposition rate onto the substrate. Using our approach, we first use VSim to evolve the plasma and sheath dynamics over electron time scales. Then after reaching steady state, we then maintain the discharge and sheath while computing the spatially dependent deposition rate onto the substrate over longer time scales. Results are compared with those available in the literature.

Acknowledgements: NSF Grant No. PHY2206904

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS-WeM-13 Advancements in Multiscale Simulation of Silicon Etching: Broader Implications for Plasma Processing
Rim Ettouri, Ahmed Rhallabi (Nantes Université, CNRS, Institut des Matériaux de Nantes Jean Rouxel)

The Bosch process [1] is fundamental in semiconductor manufacturing, enabling precise etching of deep, high-aspect-ratio silicon structures through alternating etching and passivation cycles. It uses sulfur hexafluoride (SF6) for etching and octafluorocyclobutane (C4F8) for sidewall polymer films, maintaining etch precision and integrity.

Recent studies [2], [3], experimentally explore variations in C4F8 plasma parameters and their effects on passivation films, our research approaches these phenomena through advanced simulation [4]. Our research employs a sophisticated Bosch simulator using a multiscale approach, composed of three modules: global plasma kinetics for SF6 and C4F8, a sheet module, and a surface module. This simulation framework allows us to dissect the plasma-assisted etching dynamics [5], examining how operating conditions affect etch profiles and rates.

Building on analyses of oxygen’s impact on C4F8 plasma [4], we use updated tools to study broader plasma compositions’ influences on etching behavior. These studies reveal subtle yet critical shifts in plasma behavior from variations in component ratios, emphasizing the importance of atomic and molecular interactions. Our Monte Carlo cellular approach investigates how changes in machine parameters such as pressure, gas flow, and duty cycle affect scalloping—irregularities in the sidewalls of etched structures—enabling detailed predictions on optimizing etching cycles to minimize these defects. Our findings enhance the understanding of the Bosch process, providing theoretical insights that can inform practical adjustments to improve etch precision and efficiency in semiconductor manufacturing. The implications of this work suggest new avenues for research and application, potentially setting new industry standards.

References
[1] F. Laermer and A. Schilp, “Method of anisotropically etching silicon,” US5501893A, 1996.
[2] T. Nonaka, K. Takahashi, A. Uchida, S. Lundgaard, and O. Tsuji, “Effects of C4F8 plasma polymerization film on etching profiles in the Bosch process”, J. Vac. Sci. Technol. A, vol. 41, no. 6, 2023.
[3] D. Levko, C. Shukla, K. Suzuki, and L. L. Raja, “Plasma kinetics of c-C4F8 inductively coupled plasma revisited,” J. Vac. Sci. Technol. B, vol. 40, no. 2, p. 022203, 2022.
[4] G. Le Dain, A. Rhallabi, M. C. Fernandez, M. Boufnichel, and F. Roqueta, “Multiscale approach for simulation of silicon etching using SF6/C4F8 Bosch process,” J. Vac. Sci. Technol. A, vol. 35, no. 3, 2017.
[5] G. Le Dain et al., “Modeling of silicon etching using Bosch process: Effects of oxygen addition on the plasma and surface properties,” J. Vac. Sci. Technol. A, vol. 36, no. 3, 2018.

11:15 AM PS-WeM-14 Modeling of Modern Plasma Processing Reactors Using Particle-in-Cell Codes
Igor Kaganovich (Princeton Plasma Physics Laboratory, Princeton University); Dmytro Sydorenko (University of Alberta); Alexander Khrabrov (Princeton Plasma Physics Laboratory, Princeton University); Sarveshwar Sharma (Institute for Plasma Research); Sierra Jubin, Andrew Tasman Powis, Willca Villafana, Stephane Ethier (Princeton Plasma Physics Laboratory, Princeton University)

For plasma processing, there is a need to simulate large plasma devices via kinetic means, because the Electron Velocity Distribution Function in these devices is non-Maxwellian and therefore a fluid treatment is insufficient to accurately capture the physics. The method of choice for many fully kinetic simulations has been the particle-in-cell (PIC) technique due to relatively ease of implementation of the method and that it can be parallelized effectively over many processors and accelerated on GPUs.However, PIC codes that use standard explicit schemes are constrained by the requirement to resolve the short length and time scales associated with the plasma Debye radius and plasma frequency respectively [1]. This makes it extremely challenging to perform long time 2D PIC simulations for large plasma devices. For this reason, many 2D kinetic simulations of plasmas have been limited to small or artificially scaled systems. Energy conserving [2] or implicit methods [3] must be used to remove these limitations. Effects of numerical noise in simulations using PIC code need to be analyzed and taken into account [1]. The PIC codes have been applied to study plasma processing applications, such as capacitively coupled plasmas, electron beam produced plasmas, inductively coupled, hollow cathodes [4-9]. The Darwin scheme was implemented for simulations of inductive discharges.

[1] S. Jubin et al, Phys. Plasmas 31, 023902 (2024).

[2] A.T. Powis, et al, Phys. Plasmas 31, 023901 (2024).

[3] H. Sun, et al, Phys. Plasmas 30, 103509 (2023).

[4] S. H. Son, et al, Appl. Phys. Lett. 123, 232108 (2023).

[5] L. Xu, et al, Plasma Scie. and Technol. 32, 105012 (2023).

[6] S. Rauf, et al, Plasma Scie. and Technol. 32,055009 (2023).

[7] S. Simha, Phys. Plasmas 30, 083509 (2023).

[8] S. Sharma, Phys. Plasmas 29, 063501 (2022).

[9] A. Verma, et al, “Study of synchronous RF pulsing in dual frequency capacitively coupled plasma” Plasma Scie. and Technol., to be published (2024).

11:30 AM PS-WeM-15 Intermediate Pressure Capacitively Coupled Ar/N2 Plasmas – Experimental Diagnostics and Modeling
Abhishek Verma, Kallol Bera, Shahid Rauf (Applied Materials Inc.); Shadhin Hussain, Matthew Goeckner (University of Texas at Dallas)
Intermediate pressure (1-10 Torr) capacitively coupled plasmas are used for numerous deposition and etch applications in the semiconductor industry. In this work, we describe experiments in a modified Gaseous Electronics Conference (mGEC) reference cell. These measurements are used to validate an in-house hybrid fluid plasma modeling framework for Ar/N2 capacitively coupled plasmas (CCP) operating at intermediate pressures. The model validation is critical for providing confidence in the model as a predictive tool for development of semiconductor applications as well as elucidate the underlying physics behind the complex mechanisms associated with the plasma processes. For this study, we focused model validation for Ar/N2 CCP processes in an asymmetric electrode chamber configuration with complex electrical connections. As part of model validation process, the experimental measurements are performed over a range of pressures and powers. The model includes fluid plasma equations for charged and neutral species and a Monte Carlo model for secondary electrons, coupled with Poisson’s equation for self-consistent electrostatic plasma description. The model validation study accompanies sensitivity analysis of critical model input parameters for overall uncertainty of model output as well as discussion on experimental uncertainties. Our findings include the model performance over a range of parameters and plasma characterization of Ar/N2 CCP.
11:45 AM PS-WeM-16 Controlling the Etch Process by Changing the Plasma Chemistry Through Pulsing
Evrim Solmaz, Du Zhang (TEL Technology Center America); Barton Lane (Tokyo Electron America); Yasuaki Sakakibara, Shuichiro Uda, Masahiro Yamazaki, Cedric Thomas, Takehisa Saito (Tokyo Electron); Yu-Hao Tsai (TEL Technology Center America); Toru Hisamatsu, Yohei Yamazawa (Tokyo Electron)

Plasma etching has been a key part of modern integrated circuit fabrication technology. The plasma etch process can be both material-selective and directional, enabling significant control of etch profiles. Among various plasma tuning features, the pulsed power operation has emerged as an effective option to provide additional controls to plasma properties for improving the etch results. A challenge of optimizing the pulse setting for each target, no different from other conditions, is the tremendous number of possible combinations which makes the purely empirical process development costly. As a remedy, we show that the pulsed plasma modeling can guide not only the process development but also real time control. Pulsing changes the plasma chemistry through the differences in the timescales of important phenomena. We identify and exploit these timescales to enhance the process control and address challenges associated with high aspect ratios and small CDs (critical dimensions). We show how the pulse repetition frequency (PRF) affects individual radical neutral fluxes, hence the F/C ratio in fluorocarbon plasmas. The result implies a possible etch/deposition flavor change as the differences in the generation and decay times of F and C radicals (C, CF, CF2, CF3) are the culprits for the lean and polymerizing regimes. Pulsing also affects electronegativity by separating the electron and ion decay timescales. In addition to the radical ratio, we show simulation results of an ion-ion chlorine plasma where the electrons are depleted quickly in the afterglow of the pulsed discharge. The formation of an ion-ion plasma explains the mechanism by which etching continues into the afterglow, as evidenced by recent experimental data. With an offset bias pulse, we observe a continued albeit slower directional etch process. Electrons are long gone in the source afterglow, but vertical etching still carries on, which shows that there are still ions present. This finding suggests that it is possible to control the electronegativity and the vertical etch rate by selecting when to employ the offset bias pulse.

Session Abstract Book
(345KB, Jul 23, 2024)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule