AVS 70 Session PS2-TuM: Plasma Processing at Cryogenic Temperatures

Tuesday, November 5, 2024 11:00 AM in Room 124
Tuesday Morning

Session Abstract Book
(273KB, Jul 23, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
11:00 AM Invited PS2-TuM-13 Plasma Prize Award Talk: The Evolution of Cryogenic Etching Plasma Processes Since Their Introduction 35 Years Ago
Remi Dussart (GREMI CNRS/Université d'Orléans)

Cryoetching was first introduced in 1988 by Tachi’s team to selectively etch silicon and form anisotropic trench profiles [1]. Since these very first experiments, etching at very low substrate temperature has been developed and studied. In particular, a passivation mechanism involving both fluorine and oxygen was found and characterized by in-situ diagnostics. [2] Cryogenic etching was also studied for other materials than silicon: metal and dielectric material cryoetching was also investigated. Due to the enhanced physisorption of some radicals on cold surfaces, it is possible to foster some reactions and modify the composition of the deposited layer at the sidewalls. One of the advantages relies on the low contamination of the reactor walls since deposition in cryoetching is efficient on low temperature surfaces only. Cryoetching was successfully used for porous ultra-low-k materials [3]. Experiments on cryo-Atomic Layer Etching were also carried out and self limiting etching was shown. [4] More recently, cryoetching was used to etch 3D NAND very high aspect ratio structures composed of thin SiO2 and Si3N4 repetitively stacked layers. [5] A different chemistry involving HF was used and a new etching mechanism was proposed for both dielectrics.

The presentation will be focused on the evolution of the cryogenic etching process from its introduction to the most advanced developments that have been recently disclosed.

[1] S. Tachi, K. Tsujimoto, and S. Okudaira, Appl. Phys. Lett. 52, 616 (1988).

[2] R. Dussart, T. Tillocher, P. Lefaucheux, and M. Boufnichel, J. Phys. D: Appl. Phys. 47, 123001 (2014).

[3] R. Chanson, L. Zhang, S. Naumov, Yu. A. Mankelevich, T. Tillocher, P. Lefaucheux, R. Dussart, S. De Gendt, J.-F. de Marneffe, Sci. Rep. 8:1886 (2018).

[4] G. Antoun, P. Lefaucheux, T. Tillocher, R. Dussart, K. Yamazaki, K. Yatsuda, J. Faguet, and K. Maekawa, Appl. Phys. Lett., 115, 153109 (2019).

[5] Y. Kihara, M. Tomura, W. Sakamoto, M. Honda and M. Kojima, 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 1-2 (2023).

echnology and Circuits), Kyoto, Japan, 1-2 (2023).

11:30 AM PS2-TuM-15 The Role of PF3 on Etching Characteristics of SiO2 and SiN Using HF-Based Cryogenic Plasma Etching Analyzed with in situ Monitoring Techniques
Shih-Nan Hsiao, Makoto Sekine (Nagoya University); Yuki Iijima, Ryutaro Suda, Masahiko Yokoi, Yoshihide Kihara (Tokyo Electron Ltd. Miyagi); Masaru Hori (Nagoya University, Japan)

Since the introduction of 3D architecture in NAND Flash Memory technology in 2014, the areal density has significantly increased. With the stacking of more layers to enhance data capability, the fabrication of memory channel holes with very high aspect ratios becomes a major challenge. One of the most demanding etch processes for fabricating advanced 3D NAND structures is the high-aspect-ratio contact (HARC) hole etch. Recently, cryogenic plasma etching containing hydrogen fluoride (HF)-contained species has been reported to mitigate surface charging effects while significantly increasing the throughput of SiO2 etching [1, 2]. Furthermore, a significant enhancement of ONON etching by adding PF3 to HF plasma has also been reported [3]. To understand the role of PF3 in surface reactions and etching mechanisms, cryogenic etching of SiO2 and SiN using HF diluted with PF3 was investigated. A quasi-atomic layer etching (quasi-ALE) of SiO2 and SiN film was conducted by using HF/PF3/O2 plasma for surface modification, followed by an Ar plasma for etching. In situ monitoring techniques, including spectroscopic ellipsometry and attenuated total reflectance Fourier transformation infrared spectroscopy (ATR-FTIR), were used to analyze the surface structure and etching characteristics. The substrate temperature (Ts) was controlled from 20 to –60 °C by circulating a coolant through the bottom electrode. At –20 °C, real-time thickness variation versus Ar sputter time exhibited a greater etched depth per cycle (EPC) after the Ar ion sputtering, compared to that at 20 °C. (see supplemental document for details). Surface structure observation using in situ ATR-FTIR revealed an increase in surface-adsorbed HF due to the presence of H3PO4 at –20 °C during the surface modification step, consistent with the results of density function theory simulations. This observation explains the increase in etched depth of SiO2 attributed to the increased amount of surface-adsorbed HF. Conversely, the EPC vs Ts for the SiN exhibited an opposite tendency. Although more surface-adsorbed HF was also confirmed on the SiN surface using the plasma with PF3 addition, the EPC was lower compared to pure HF. The surface structure observations reveal that the presence of P3N5 phase on the SiN surface, which might hinder the etching.


[1] S.N. Hsiao et al., Appl. Phys. Lett., 123 (2023) 212106.

[2] S.N. Hsiao et al., Small methods (Under review).

[3] Y. Kihara et al., VLSI symposium T3-2 (2023).

View Supplemental Document (pdf)
11:45 AM PS2-TuM-16 MD Simulations of Cryogenic Etching of SiO2 by HF Plasmas
Nicolas Mauchamp (Osaka University, Japan); Thorsten Lill, Mingmei Wang, Harmeet Singh (Lam Research Corporation); Satoshi Hamaguchi (Osaka University, Japan)

Plasma etching is a key technology for the manufacturing of semiconductor devices. Cryogenic etching, first introduced in 1988 by Tachi et al., is an etching process at a very low material temperature (-50ºC or lower). The extremely low surface temperature typically contributes to faster etching, a better control of etched profiles, and reduced contamination from the chamber walls. However, there seems an optimal temperature for the best etching results and too low a temperature can impede the desired etching process. This study attempts to clarify the surface reaction mechanisms of cryogenic etching of silicon dioxides (SiO2) by hydrogen fluoride (HF) plasmas with molecular dynamics (MD) simulations. In the MD simulations, a SiO2 material surface was thermalized at 200 K (-73.15ºC) to emulate cryogenic conditions. Under such conditions, a relatively thick layer of gaseous species (HF molecules in our case) is formed on the material surface due to condensation. The surface is then exposed to highly energetic ions of Ar+ (or other ions from HF plasmas) with an incident energy of 1 keV or higher, together with a large flux of gaseous HF molecules that continue to condense on the material surface. The MD simulation results showed that the energetic ion impact caused the mixing of F and H atoms from the condensed layer in the material’s subsurface layer and lead to efficient reactive ion etching of SiO2. The material surface chemical composition, the sputtering yields, and desorbed species were analyzed under different etching conditions. It was found that, with an increasing flux ratio of HF molecules to incident ions, more F atoms accumulated in the material’s subsurface layer and the sputtering yield of SiO2 increased.

12:00 PM PS2-TuM-17 Mechanisms for Cryogenic Plasma Etching
Yeon Geun Yook, Mark J. Kushner (University of Michigan)

The increasing demands for high-aspect-ratio (HAR) plasma etching for 3-dimensional devices has produced a resurgence in innovations in cryogenic etching. Cryogenic plasma etching (CPE) is a process in which the substrate in an otherwise conventional capacitively coupled plasma is cooled temperatures as low as –90 C. The lower substrate temperature is thought to increase the rate of adsorption of precursor species to the degree of having a thin condensed layer. CPE of dielectric materials have produced etch rates of up to several times that of conventional etching for aspect ratios exceeding 100 though the mechanisms for this performance are unclear. Recipes for CPE of SiO2 range from fluorocarbon gases with H2 additives to Ar/HF mixtures. A common feature of such mixtures is production of HF and H2O (the latter by either gas phase or surface processes) which is hypothesized to produce a condensed hydrofluoric acid-like layer at the surface which would normally produce isotropic etching. The lower temperature reduces the rate of isotropic etching, which then enables anisotropic etching by ion-bombardment. The use of additives such as PF3 suggests that there may also be a catalytic component to the process. In gas mixtures that do not contain, for example, fluorocarbon species there is not a natural source of passivation for sidewall control, which suggests that passivation is in the form of etch products.

In this presentation, results will be discussed from a computational investigation of CPE of SiO2 on reactor and feature scales using the Hybrid Plasma Equipment Model (HPEM) and Monte Carlo Feature Profile Model (MCFPM). The processing conditions are single- and dual-frequency capacitively coupled plasmas of tens of mTorr pressure. An investigation of surface kinetics during CPE was first performed while artificially specifying reactive fluxes to the wafer for Ar/HF gas mixtures.The consequences of adsorption probabilities, redeposition of etch products and enhanced yield on etch rate and profile control will be discussed. Results for coupled reactor and feature scale simulations for CPE of SiO2 using CF4/H2 and Ar/HF mixtures will then be discussed with the goal of determining whether these proposed mechanisms are consistent with practice in high volume manufacturing.

Session Abstract Book
(273KB, Jul 23, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule