AVS 70 Session PS1-MoA: Plasma Surface Interactions

Monday, November 4, 2024 1:30 PM in Room 124
Monday Afternoon

Session Abstract Book
(304KB, Jul 23, 2024)
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
1:30 PM Invited PS1-MoA-1 Advanced Semiconductor Plasma Processes Pioneered by Understanding and Controlling Plasma-Surface Interactions
Masaru Hori, Makoto Sekine, Takayoshi Tsutsumi, Kenji Ishikawa (Nagoya University)

Plasma processes were introduced into semiconductor manufacturing more than 50 years ago, leading to today's nanoscale, three-dimensional integrated circuit manufacturing and explosive scientific and industrial advances. One of the core technologies is reactive ion etching (RIE). Initially, the focus of basic science was on why RIE occurs, with many reports and discussions on fast etching, material-to-material selectivity and fine shape control reactions resulting from the interaction of ions and radicals from the plasma with diverse materials, forming a unified understanding of RIE principles. However, the current demand for microfabrication technologies aimed at fabricating devices of 2 nm or smaller requires further understanding of nanometer reaction regions called surface modified layers, mixed layers, condensed layers, and damaged layers resulting from ion, radical and solid interactions. Here, we would like to name this reaction region the meta-layer due to the need for a unified understanding and definition as a science. In addition to pioneering atomic layer etching and self-organized growth processes of three-dimensional nanomaterials by measuring and controlling the meta-layer, wet-like etching techniques have recently been produced by utilizing the interaction between plasma and liquid phase. The identification and control of this meta-layer is the key to the core science and applications for future advances in advanced plasma processing. In this talk, the importance of identifying and controlling the plasma-induced meta-layer in plasma processes used to fabricate logic and memory devices will be explained. The relationship between the reaction dynamics occurring in the meta-layer and the etching mechanism will then be discussed based on in-situ FT-IR, in-situ XPS and in-situ ellipsometry observations of chemical reactions occurring in the meta-layer induced by the plasma process. Furthermore, based on the analysis of meta-layer structures formed in various plasma processes using TOF-SIMS and in-situ TEM-EELS, the evolution of state-of-the-art semiconductor manufacturing processes produced by meta-layer science and the precise control of non-equilibrium reaction fields in which active species and solids and liquids work together will be mentioned.

2:00 PM PS1-MoA-3 Concurrent Thin Film Deposition in Fluorocarbon Plasmas as a Function of Gas Phase and Surface Reactions
Austin Krauss (University at Albany); Qi Wang, Nicholas Smieszek, Sergey Voronin, Akiteru Ko (Tokyo Electron America); Shigeru Tahara (Tokyo Electron Ltd., Japan); Christophe Vallee (University at Albany)

High aspect ratio (HAR) etching in fluorocarbon plasmas has presented challenges in the pursuit of continuous shrinking of the integrated circuit technological node. With increasing aspect ratios of etched features, maintaining a constant channel critical dimension (CD) requires precise control of the plasma species involved. As such, the advancement of existing plasma processing techniques depends on a complete understanding of transport and surface interactions of electrically charged and neutral species (i.e. radicals) within HAR channels.

For better understanding of such mechanisms and better control over neutral species delivery and distribution inside etch channels, we characterized the transport of radical species in the process chamber as a function of the distance from the plasma source, as well as their transport through capillary plates with different aspect ratios. The experiments were performed in an inductively coupled C4F8/O2/Ar discharge at 20 mTorr to minimize collisions between the molecules inside of the micrometer-scale capillary via holes. The plasma phase chemistry composition and the nature of the radicals transported to the substrate were controlled by adjusting of the O2 flow rate; the experimental films were grown on SiO2 substrates, attached to the rear side of the capillary plates. In addition, the composition of the radicals diffusing toward the substrate was controlled through variation in sample distance from the plasma source and was monitored in-situ by spatially-resolved optical emission spectroscopy (OES) and spatially-resolved mass spectrometry. The composition and deposition rates of the films were determined as a function of AR via X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM), respectively.

Surface analysis indicated significant reduction in the film deposition rate and a lower carbon content with increase of the channel length, which could be addressed to higher consumption of CF and CF2 species (compared to CF3) on the via wall. Addition of O2 to the plasma has shown a decrease of the CFx species with higher carbon content in the plasma phase and carbon concentration in the film, which can be used as a knob for deposition uniformity control inside of a via.Additional characterization of the radical flux, its composition at different distances from the plasma source, and its impact on the film properties will be discussed.

2:15 PM PS1-MoA-4 Investigation of Highly Diffusive Point Defects During Si Plasma Etching
Nobuyuki Kuboi, Koichiro Saga, Masafumi Miyoshi, Takashi Hamano, Shoji Kobayashi, Tetsuya Tatsumi (Sony Semiconductor Solutions Corporation); Koji Eriguchi (Kyoto University); Yoshiya Hagimoto, Hayato Iwamoto (Sony Semiconductor Solutions Corporation)

The quantitative prediction and precise control of plasma-induced damage during Si etching for detailing the regions of amorphous, dangling bonds, and point defects are essential for optimizing the performance of CMOS devices. Particularly, regions of two formers with concentrations of 1018-1019 atoms/cm3 have been studied and modeled extensively [1][2][3]. Insights obtained from these studies are used for suppressing damage to these layers to realize plasma processes with low damage. To enhance the performance of advanced CMOS devices with complex structures, understanding the mechanisms of formation and distributions of points defects with less than 1017 /cm3 is crucial. However, limited studies have focused on the effects of point defects generated during Si etching.

Therefore, we focused on point defects as Si etching damage and proposed simulation modeling for Si interstitials (I). These interstitials are highly diffused and interact with nearby interstitials and incident hydrogens from plasma to form clusters (Im). Considering the energy balance between an incident ion and sum of forming energy of each cluster during Si trench etching, I3 or I4 cluster can be formed at most. In the proposed model, this transient phenomenon was included depending on the substrate temperature.

To confirm the validity of the damage model, we analyzed photoluminescence (PL) data after Si trench etching with or without hydrogen irradiation and revealed that the model assumptions are reasonable for the variations of the observed PL intensities originating from point defects. Furthermore, we performed in-situ XPS on the B1s spectrum in the highly Boron-doped Si substrate after Ar+ ion irradiation as damage with a temperature variation of 25, -50, and -120 ºC. At 1.5-nm depth from the surface corresponding to the a-Si region, the B1s intensity did not vary, but the intensity at the 6-nm region increased with the decrease in the temperature (i.e., -50 and -120 ºC) and decreased when the substrate temperature of -120 ºC was back to 25 ºC. This experimental result revealed various phenomena with the depth on the nanometer scale and supports the proposed formulation as the diffusion phenomena of Si interstitials.

Consequently, we revealed that the diffusion of Si interstitials induced by plasma irradiation can be suppressed by extremely low temperature. Furthermore, cryo etching exhibits considerable potential for not only improving etched profile with high selectivity but also suppressing plasma-induced Si damage.

[1] K. Eriguchi, JJAP 56, 06HA01 (2017).

[2] T. Tatsumi, JJAP 61, SA0804 (2022).

[3] N. Kuboi et al., JJAP 55, 07LA02 (2016).

2:30 PM PS1-MoA-5 Effect of Bias Voltage and H2 Addition on the Formation of Ammonium Salt Layer during RIE of SiNx in a CH2F2/Ar Plasma
Xue Wang (Colorado School of Mines); Prabhat Kumar, Thorsten Lill, Harmeet Singh, Mingmei Wang, Taner Ozel (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

During the etch of SiO2 and SiNx in a fluorocarbon plasma, the selective etch is believed to be realized by the accumulation of a fluorine-deficient graphitic carbon layer on the SiNx surface. While the hydrofluorocarbon gases are also widely used in the selective etch of SiO2 to SiNx, the mechanism of SiNx retardation is still unclear. It has been hypothesized that in addition to the graphitic carbon layer, another blocking layer—ammonium fluoride or ammonium fluorosilicate—may form on the SiNx surface. These layers can retard or even fully stop the etching of SiNx. The formation of an ammonium salt layer has been reported in some atomic layer etching and reactive ion etching (RIE) processes. However, the mechanism of formation of these ammonium salts, their thermal stability, and stability under directional ion bombardment are not fully understood.

In this work, we investigate the effect of temperature, bias voltage, and H2 addition on the formation of an ammonium salt layer during RIE of plasma-deposited SiNx in a CH2F2/Ar plasma. Using in situ attenuated total reflection Fourier-transform infrared spectroscopy (ATR-FTIR) spectroscopy, we monitored the surface bonding changes of SiNx film during RIE over a temperature range of 25-150 °C at bias voltages over the range of 100-300 V. As expected, the etch rate was lower at a lower bias voltage (see Figure 1), and the etch stopped with rapid accumulation of ammonium salt layer (NH4 bending mode at ~1430 cm-1 and NH4 stretching mode at ~ 3000–3300 cm-1 in Figure 2) and graphitic hydrofluorocarbon layer (C=C stretching mode at ~1600 cm-1 in Figure 2). Interestingly, no salt or graphitic carbon layers formed when we decoupled the RIE process into a CH2F2/Ar plasma deposition step and an Ar plasma activation step with a bias voltage of -240 V. This implies that the formation of ammonium salt and graphitic carbon layers requires the participation of etch byproducts and plasma species. We also observed that, after adding H2 into feed gas, the SiNx etch at room temperature and under bias voltage of -240 V proceeded linearly with no accumulation of ammonium salt and graphitic carbon layers. We speculate that the graphitic carbon layer was efficiently removed with H2 addition, making the ammonium salt layer unstable when it was exposed to high-energy ion bombardment. We anticipate that SiNx etching with HF plasma may provide further insights into the formation mechanism of ammonium salt layer by eliminating the graphitic carbon layer that forms on the SiNx surface prior to an etch stop.

View Supplemental Document (pdf)
2:45 PM PS1-MoA-6 Plasma-Induced Oxidation in Micron-Sized Droplets: Evaluating Transport Limitations
Dongxuan Xu, Peter Bruggeman (University of Minnesota)

Cold plasmas interacting with liquids at ambient conditions provides a unique chemical reactive environment and so have been studied extensively for a broad range of applications - material synthesis, wastewater treatment, and disinfection. The plasma-liquid interface, a thin liquid region bridging plasma gas phase and bulk liquid phase, is crucial for reactive chemistry in the liquid phase. Typically, highly reactive plasma gas phase species (i.e., e- and OH) are injected into the liquid phase and readily react with solutes at this interface. As the redox processes in this interface occur on timescales of ns to µs while the transport of reactive gas phase species and liquid solutes occurs on timescales of tens of µs to seconds, the rate of conversion is in many cases not limited by the speed of the reaction but by transport. Specifically, conversion yields for a plasma-liquid system can be limited by both the gas phase reactive species flux into the liquid and the diffusion/convection of solutes from the bulk liquid to the interface where reactions occur.

In this study, we evaluate the effect of these transport limitations on OH-driven oxidation processes in an RF-driven diffuse glow discharge at atmospheric pressure. A droplet generator enables the generation of a train of droplets (40 μm diameter) passing through the homogeneous plasma allowing us to study the interaction of a micron-sized droplet with a plasma at a well-defined droplet residence time (10 ms). Here, the dominant liquid phase transport within the droplet is diffusion of the bulk liquid solute towards the plasma-liquid interface.

Firstly, we treated the redox couple ferri/ferrocyanide in the plasma-droplet system to confirm oxidation is the dominant reaction. Next, various solutes were treated at different initial concentrations, and we observed two trends: 1) an initial linear increase in solvent conversion with increasing solvent concentration consistent with solute diffusion limited conversion, 2) and a saturation of the solvent conversion independent of the solvent concentration consistent with a conversion that is limited by the gas phase reactive species flux into the liquid. We show that these results can be described by a 1D reaction-diffusion model which also allows us to determine the gas phase OH flux into the droplet. Finally, we compared these derived OH fluxes with calculated estimates of OH fluxes in the gas phase independently determined with measured bulk gas phase OH densities as boundary condition. The results are in excellent agreement and show that for molecules that readily react with OH radicals, conversion can be described by OH-driven plasma-induced chemistry.

3:00 PM PS1-MoA-7 Effects of Si/N Ratio on Plasma-induced Damage Creation in Silicon Nitride Films
Takahiro Goya, Keiichiro Urabe, Koji Eriguchi (Kyoto University, Japan)

Various properties of SiN films have been designed by optimizing the stoichiometry (Si/N ratio). For example, Si-rich SiN films with their superior mechanical property such as high hardness [1] are one of the promising candidates for an anti-plasma erosion layer called a “hard” mask. It is widely known that plasma exposure results in the creation of defects in SiN films, leading to the change of the designed properties by Si—N network modification. Thus, the property degradation during plasma process (plasma-induced damage: PID) [2] is considered to be a critical issue for SiN film design and/or plasma process optimization. In this study, we investigated the effects of PID on the mechanical property change in SiN films with various Si/N ratios, in combination with optical analysis. The SiN films were prepared using PECVD on Si substrates. The thicknesses were approximately 200 nm and the refractive index n showed 1.9 ~ 2.2 depending on the Si/N ratio. All samples were exposed to inductively coupled Ar plasma. DC self-bias voltage was −200 V and the exposure time was 60 s. For mechanical property analysis, a nanoindentation technique was employed. We adopted the contact stiffness S (obtained from the slope of an unloading curve) as a measure of the mechanical property [3]. Since it turned out that a single loading/unloading process could not reveal a clear change in S by plasma exposure due to stochastic variation etc., we performed cyclic (time-dependent) loading/unloading measurements with an iteration time N = 75. An increase in S with an increase in Si/N ratio was found for Si-rich SiN films (n > 2.05), while no apparent increase was seen for N-rich SiN films (n < 2.05). To clarify the Si/N ratio effects, the change in the extinction coefficient k estimated by spectroscopic ellipsometry was investigated. A decrease in k was observed for the Si-rich SiN films after plasma exposure. Since the optical bandgap is narrower and the S value is larger in SiN films, compared to SiO2 films, we anticipate that the change is attributed to the surface oxidation [4] of the damaged layer. The present findings imply that Si-rich SiN films are more significantly subject to PID, leading to the degradation of the anti-plasma feature (hard mask property) during plasma processing steps.

[1] H. Huang et al., Mater. Sci. Eng. A 435, 453 (2006).

[2] K. Eriguchi, Jpn. J. Appl. Phys 60, 040101 (2021).

[3] T. Goya et al., Proc. Symp. Dry Process, 2021, p 35.

[4] T. Kuyama et al., Jpn. J. Appl. Phys. 57, 06JD03 (2018).

3:15 PM PS1-MoA-8 Highly Selective Sin Etching by HF Plasma
Megan Manos, Yosuke Kurosaki, Jeff Ditto (Hitachi High-Tech America, Inc.); Takahiko Maeda, Takashi Hattori (Hitachi High-Tech, Corp.); Masaki Yamada (Hitachi, Ltd.); Yohei Ishii (Hitachi High-Tech America, Inc.); Hiroto Ohtake (Hitachi High-Tech, Corp.); Kenji Maeda (Hitachi High-Tech Corp.)

Recently, it has been found that combination of H and F is critical for highly selective SiN etching over SiO in dry etching. HF is suggested to work for SiN etching as a catalyst by lowering the activation energy of F migration, while NO is well known to promote SiN etching as well [1]. In addition, HF is a promising etching gas with low GWP (Global Warming Potentials) and has capability of SiN etching by reactive ion etching or evaporating ammonium salts by ion bombardment [2,3].

In this study, we investigated isotropic etching of SiN by HF remote plasma and found high selectivity to SiO of over 100. HF plasma was generated by an inductively coupled plasma source and radicals were supplied to the wafer through an ion shield plate. LPCVD-SiN and thermally oxidized SiO were used for checking the etching capability.

Highly diluted Ar/HF plasma (Ar/HF = 20) showed continuous SiN etching while SiO and poly-Si with native oxide layer were hardly etched. Once HF flow was increased, poly-Si started to be etched and SiO showed slight etching. Selective SiN etching over SiO was still observed due to increasing SiN etching rate. Note that SiN etching was observed with small amounts of F radicals as suggested by using dilute HF gas and optical emission spectroscopy (OES). OES showed small signals of fluorine in HF plasma when compared a conventional gas such as SF6. In fact, small addition of H2 suppressed SiN etching due to scavenger effects. When tuning process pressure, the etching rate had a maximum at around 100 Pa while the OES signals of fluorine monotonically decreased. These results indicate that HF assists to enable SiN etching even with small number of etchants while achieving the extremely high selectivity over SiO. Addition of SF6 slightly reduced SiN etching rate while poly-Si etching rate rapidly increased, supporting the mechanism that the reduction of HF partial pressure suppressed SiN etching. No clear XPS signal of ammonium salt was observed after etching on SiN samples and the etching rate has a maximum at around 0°C. These results suggest that SiN etching occurs not through the formation of ammonium fluorosilicate (AFS), which is well known to promote SiN etching, since the boiling point of AFS is over 100°C. In the structure of SiN/SiO multilayers, Ar/HF plasma showed lateral etching of SiN tiers without specific loss of SiO tiers.

[1] Y. V. Barsukov et al., J. Vac. Soc. Technol. A 36, 061301 (2018)

[2] Y. Kihara et al., VLSI symposium T3-2 (2023)

[3] S-N Hsiao et al., ACS Appl. Electron. Mat. 5, 6797 (2023)

3:30 PM PS1-MoA-9 Dense-Amorphous-Carbon (DAC) Formation from Ion bombardment of Plasma Deposited FCH Films: Temperature and Precursor Dependence
Sang-Jin Chung (University of Maryland, College Park); Pingshan Luan, Minjoon Park (TEL Technology Center America); Gottlieb S. Oehrlein (University of Maryland, College Park)

The passivation layer deposited during high-aspect-ratio-contact (HARC) etching is important for protecting the sidewall from forming various etch defects. The etch resistance of these often-carbon-based films plays a crucial role in effective profile control. Ion bombardment of polymeric films creates bond scissioning in the surface layer, which often results in the formation of a thin and dense amorphous carbon (DAC) layer above the bulk.1

In this work, we evaluate the mechanisms of DAC layer formation on plasma-deposited (hydro)fluorocarbon (FCH, FC) films and their etch resistance at various ion energies. Various HARC etching precursors (Ar/C4F6, Ar/C4F8, Ar/CHF3, Ar/CH2F2, or Ar/CH3F) were used to deposit FCH/FC on Si, oxide, or nitride materials, on both blanket as well as in trench-like HAR structures2, 3. After film deposition, the chamber was cleaned, and DAC film was formed using ion bombardment from noble gas (Ar) plasma under self-bias. With various ion energies (25, 50, 75, 100, and 125 eV) and substrate temperatures, the thickness and composition of films were monitored in real-time using in-situ ellipsometry. Initial results show that the DAC film formation from FCH deposited by higher -H containing precursors show greater changes, indicated by higher refractive index of the DAC layer compared to the deposited bulk layer, which is due to ion driven depletion of F from the FCH films. During the initial phase of Ar ion bombardment, the etch rate (ER) is very high as there is no DAC layer. The ER gradually reaches a steady state as the DAC layer forms. At higher ion energies the DAC layer thickness is greater, and after a certain thickness the DAC layer greatly inhibits the bulk etch rate. When treating the film with sequentially higher ion energies, we found that the DAC thickness increases, and the ER reaches a peak at ~75 eV after which the ER decreases. When reversing the ion energy, we found that both the DAC thickness and ER decreases . Depending on the film chemistry, higher overall ER could be achieved with optimized ion energy up-ramp (F rich FC films) or down-ramp (F poor FCH films), which highlights the importance of the DAC layer thickness and chemistry to the ER. When comparing DAC layers formed at -20 oC or 10 oC, we found that the refractive index is smaller at -20 oC and the DAC layer is less dense, which allowed for a higher etch rate. The formation and properties of DAC films will also be studied at -60 oC substrate temperature. Chemical composition of the films before and after Ar plasma treatment will be reported.

View Supplemental Document (pdf)
3:45 PM BREAK
Session Abstract Book
(304KB, Jul 23, 2024)
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule