AVS 70 Session AP+PS+TF-TuA: Atomic Layer Etching III: Plasma Processes

Tuesday, November 5, 2024 2:15 PM in Room 116
Tuesday Afternoon

Session Abstract Book
(329KB, Jul 23, 2024)
Time Period TuA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
2:15 PM Invited AP+PS+TF-TuA-1 Atomic Layer Etching with Plasma Processing for Semiconductor Device Fabrication
Heeyeop Chae (Sungkyunkwan University (SKKU))

The critical dimensions of semiconductor devices are continuously shrinking in nanometer and atomic scale with 3D device structure. The demand for dimension control in angstrom level is drastically increasing also in etching processes. Atomic layer etching (ALE) processes are being actively studied and developed for various metals, semiconductor, and dielectric materials. In this talk, plasma processes for atomic layer etching will be discussed for both isotropic and anisotropic patterning of metals and dielectric materials including molybdenum, ruthenium, cobalt, titanium nitride, tantalum nitride, hafnium oxide, zirconium oxides. [1-9] Typical ALE processes consist of surface a modification step and a removal step. For the surface modification, various fluorination, chlorination and oxidation schemes were applied including fluorocarbon deposition, halogenation, oxidation with radicals generated plasmas. For the removal or etching step, various schemes were applied including ion-bombardment, heating, ligand volatilization, ligand exchange, and halogenation. The surface characteristics such as surface roughness and surface residue after plasma-enhanced ALE processes will be also discussed.

1) K. Koh, Y. Kim, C.-K. Kim, H. Chae, J. Vac. Sci. Technol. A, 36(1), 10B106 (2017)

2) Y. Cho, Y. Kim, S. Kim, H. Chae, J. Vac. Sci. Technol. A, 38(2), 022604 (2020)

3) Y. Kim, S. Lee, Y. Cho, S. Kim, H. Chae, J. Vac. Sci. Technol. A, 38(2), 022606 (2020)

4) D. Shim, J. Kim, Y. Kim, H. Chae, J. Vac. Sci. Technol. B., 40(2) 022208 (2022)

5) Y. Lee, Y. Kim, J. Son, H. Chae, J. Vac. Sci. Technol. A., 40(2) 022602 (2022)

6) J. Kim, D. Shim, Y. Kim, H. Chae, J. Vac. Sci. Technol. A., 40(3) 032603 (2022)

7) Y. Kim, H. Chae, Appl. Surf. Sci., 619, 156751 (2023)

8) Y. Kim, H. Chae, Appl. Surf. Sci., 627, 157309 (2023)

9) Y. Kim, H. Chae, ACS. Sustain. Chem. Eng., 11, 6136 (2023)


2:45 PM AP+PS+TF-TuA-3 Atomic Layer Etching in HBr/He/Ar/O2 Plasmas
Qinzhen Hao, Mahmoud Elgarhy (University of Houston); Pilbum Kim, Sang Ki Nam, Song-Yun Kang (Samsung Electronics Co.); Vincent Donnelly (University of Houston)
Atomic layer etching (ALE) of Si is reported in a radio frequency (RF) pulsed-power inductively-coupled plasma (ICP), with periodic injections of HBr into a continuous He/Ar carrier gas flow, sometimes with trace added O2. Several pulsing schemes were investigated, with HBr injection simultaneous with or alternating with ICP power. The product removal step was induced by applying RF power to the substrate, in sync with ICP power. Etching and dosing were monitored with optical emission spectroscopy. Little or no chemically-enhanced ion-assisted etching was observed unless there was some overlap between HBr in the chamber and ICP power. This indicates that HBr dissociative chemisorption deposits much less Br on Si, compared with that from Br created by dissociation of HBr in the ICP. Chemically-assisted etching rates nearly saturate at 2.0 nm/cycle as a function of increasing HBr-containing ICP dose at -75 VDC substrate self-bias. The coupled effects of O2 addition and substrate self-bias DC voltage on etching rate were also explored. Etching slowed or stopped with increasing O2 addition. As bias power was increased, more O2 could be added before etching stopped.
3:00 PM AP+PS+TF-TuA-4 Comparisons of Atomic Layer Etching of Silicon in Cl2 and HBr-Containing Plasmas
Mahmoud Elgarhy, Qinzhen Hao (University of Houston); Pilbum Kim, Sang Ki Nam, Song-Yun Kang (Samsung Electronics Co.); Vincent Donnelly (University of Houston)

This talk will report an experimental investigation of Cl2 vs. HBr for plasma atomic layer etching (ALE) of silicon. An inductively coupled plasma (ICP) source with a constant flow of Ar (and sometimes He) carrier gases, and HBr or Cl2 as a dosing gas was used for etching Si (100) samples. Optical emission spectroscopy was used to follow relative yields of SiCl, SiCl2, SiBr and SiBr2, and scanning electron microscopy and profilometry were used to measure etching rates. HBr and Cl2 residence times in the chamber were determined by measuring time-resolved pressure during gas dosing. It was found that the pressure rise and fall times were much longer for HBr compared to Cl2, suggesting that HBr hangs up on the chamber wall after gas dosing. The effect of the delay time between gas dosing and the start of ICP power on the etching rate was also investigated. When HBr or Cl2 were injected into the reactor with the plasma on, etching occurs for both sources, with Cl2 having a higher etching rate. When HBr or Cl2 were fed to the reactor with the plasma off, only Cl2 etches. This indicates that the HBr does not chemisorb on Si, and bromination of the surface requires the plasma to form Br atoms, which do adsorb.

3:15 PM AP+PS+TF-TuA-5 Atomic Layer Etching of Crystalline MoS2 by Plasma Fluorination and Oxygenation
Sanne Deijkers, Christian Palmer, Nick Chittock, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, The Netherlands)

Molybdenum disulfide (MoS2) is a two-dimensional (2D) transition metal dichalcogenide (TMD) with applications in catalysis and nanoelectronics.1–3 To enable integration of 2D materials in nanoelectronics, highly controlled and low-damage etching processes are required. One example of such a process is the atomic layer etching (ALE) of WSe­­2.4 In this work, we present a plasma ALE process to etch crystalline MoS2. The process involves plasma fluorination and oxygenation, targeting Mo-O-F as a volatile species. Previous work using the approach of fluorination and oxygenation involved thermal chemistries with an etch-per-cycle (EPC) of 0.5 Å for amorphous films and 0.2 Å for crystalline films.5 As plasmas are more reactive than their gaseous counterparts, they are expected to allow for etching crystalline materials.

Fluorination was performed by a SF6-based plasma, and as removal step various oxygen sources were tested. To avoid continuous etching of the MoS2, the plasma composition of the fluorination step had to be optimized.6 Addition of H2 to the plasma mixture reduces F radical concentration by creating HF species in situ.7 With an adequate H2 content using a ratio of SF­6:(SF6+H2) < 0.3, continuous etching is suppressed, and only modification of the top surface takes place. As second half-cycle, H2O, O2 gas and O2 plasma exposures were tested. Of these only an O2 plasma resulted in etching, while dosing H2O or O2 gas resulted in no measurable thickness change. Raman measurements showed a strong decrease in the characteristic E12g and A1g peaks, indicating etching of crystalline MoS­2. The complete ALE recipe with saturated SF­6-based plasma and O2 plasma exposures had an EPC of 1.1 ± 0.2 Å at 300 °C table temperature.

  1. Cao, Y. ACS Nano15, 11014–11039 (2021).
  2. Mahlouji, R. et al. Adv. Electron. Mater.8, (2022).
  3. Deijkers, J. H. et al. Adv. Mater. Interfaces 4–9 (2023).
  4. Nipane, A. et al. ACS Appl. Mater. Interfaces13, 1930–1942 (2021).
  5. Soares, J. et al. Chem. Mater.35, 927–936 (2023).
  6. Xiao, S. et al. Sci. Rep.6, 1–8 (2016).
  7. Hossain, A. A. et al. J. Vac. Sci. Technol. A41, (2023).
View Supplemental Document (pdf)
3:30 PM BREAK
4:00 PM AP+PS+TF-TuA-8 A Reduced Order Model of Plasma-Surface Interactions in Atomic Layer Etching
David Graves (Princeton University); Joseph Vella (TEL Technology Center, America, LLC)

Any future physics-based, rather than purely data-driven, digital twin of a plasma tool and process will need a reduced order model (ROM) of the effects of the plasma on the surface being processed. In this talk, I present one possible version of a ROM for simulating a plasma atomic layer etching (ALE) process. The ROM is based on a transient version of a surface site balance model that was first applied in the 1980s for plasma etching. Classical molecular dynamics (MD) simulations of ALE of Si using Cl2/Ar+ cycles are first validated with experimental measurements and then used to provide values for parameters (e.g., ion energy dependent etch yields) for a transient site balance model of the process. The ROM is computationally much faster than the MD simulations and is shown to reproduce additional, and previously unexplained, experimental results. Future applications of MD to plasma-surface interactions in semiconductor device fabrication will likely use another type of ROM. MD methods require interatomic potentials or force fields for all simulated atomic interactions. The force field parameters can be inferred from higher level methods such as density functional theory (DFT), coupled with neural net data-driven algorithms. I will conclude with preliminary results using one such approach, namely Deep Potential MD (DeePMD), to develop illustrative force fields.

4:15 PM AP+PS+TF-TuA-9 Atomic Layer Etching of SiO2 using Sequential Exposures of Al(CH3)3 and H2/SF6 Plasma
David Catherall, Azmain Hossain, Austin Minnich (California Institute of Technology)
On-chip photonic devices based on SiO2 are of interest for applications such as microresonator gyroscopes and microwave sources. Although SiO2 microdisk resonators have achieved quality factors exceeding one billion, this value remains an order of magnitude less than the intrinsic limit due to surface roughness scattering. Atomic layer etching (ALE) has potential to mitigate this scattering because of its ability to smooth surfaces to sub-nanometer length scales. While isotropic ALE processes for SiO2 have been reported, they are not generally compatible with commercial reactors, and the effect on surface roughness has not been studied. Here, we report an ALE process for SiO2 using sequential exposures of Al(CH3)3 (trimethylaluminum, TMA) and Ar/H2/SF6 plasma. We find that each process step is self-limiting, and that the overall process exhibits a synergy of 100%. We observe etch rates up to 0.58 Å per cycle for thermally-grown SiO2 and higher rates for ALD, PECVD, and sputtered SiO2 up to 2.38 Å per cycle. Furthermore, we observe a decrease in surface roughness by 62% on a roughened film. The residual concentration of Al and F is around 1-2%, which can be further decreased by O2 plasma treatment. This process could find applications in smoothing of SiO2 optical devices and thereby enabling device quality factors to approach limits set by intrinsic dissipation.
4:30 PM AP+PS+TF-TuA-10 Atomic Layer Etching of Cu Using Alternating Cycles of Hexafluoroacetylacetone and O2 Plasma
Yusuke Nakatani (Hitachi High-Tech); Andrew Kaye (Colorado School of Mines, USA); Yasushi Sonoda, Motohiro Tanaka, Kenji Maeda (Hitachi High-Tech); Sumit Agarwal (Colorado School of Mines, USA)

Atomic layer etching (ALE) is a critical technology in semiconductor device fabrication, including for interconnect metals such as Cu and Co. Previously, thermal ALE of Cu has been reported using alternating half-cycles of hexafluoroacetylacetone (hfacH) and O2 or O3 at ~275 °C. It has also been shown that hfacH cannot spontaneously etch Cu, and peroxidation of the Cu surface is required. In this presentation, we will report on ALE of Cu at a much lower temperature of 150 °C using hfacH and O2/Ar plasma half-cycles.

The ALE process was monitored using in situ reflection-absorption infrared spectroscopy (RAIRS). Prior to ALE, the Cu wafers were cleaned using a H2 plasma at a temperature of 300 °C to reduce the native oxide, and to remove the surface carbonates and adsorbed hydrocarbons. Initially, we tested the reactivity of hfacH at 150 °C with a reduced Cu surface, and after re-oxidation with an O2 plasma. In both cases, in the infrared spectra, we observed absorption bands related to CF3 (1240 cm-1), C=C, and C=O (1645 cm-1) vibrations. On a reduced Cu surface, the C=C and C=O bands were much weaker indicating decomposition of hfacH. Since hfacH does not spontaneously etch Cu, this indicates that an oxidized Cu surface is required for the adsorption of hfacH. Our infrared data also show that the ALE window is very narrow, and the etch rate could only be measured at 125 and 150 °C. At temperatures lower than 125 °C, our infrared data shows that hfacH does adsorb onto the CuOx surface, but the temperature is likely too low for the formation of the etch products— Cu(hfac)2 and H2O. The subsequent O2 plasma half-cycle simply removes the hfac ligand and oxidizes the surface further. At 150 °C, a balance is established between surface oxidation and removal of CuOx from the surface as Cu(hfac)2 and H2O. The etch per cycle at 125 and 150 °C were 0.1 and 0.7 Å, respectively. At temperatures higher than 150 °C, atomic force microscopy shows that the Cu surface roughens due to severe oxidation, and the Cu film thickness cannot be measured with ellipsometry.
4:45 PM AP+PS+TF-TuA-11 Enabling Anisotropic and Selective Etch Through Surface Modification of Ru
Owen Watkins (UCLA); Harsono Simka (Samsung Electronics); Jane Chang (UCLA)

Ruthenium is a potential replacement for copper in metal interconnects below 10 nm, where the grain boundary scattering and the need for a barrier layer increases the effective resistivity of Cu. Unlike Cu, Ru can be directly etched using O2-plasma-based processes, allowing a subtractive metal patterning to be used. Current Ru etching processes largely rely on O2/Cl2-based RIE. While this process is able to anisotropically etch Ru, it requires Cl2 and damages the hard mask, resulting in ballooning and low selectivity. A cyclic process that is halogen-free, anisotropic, and selective has been proposed. The process consists of a nitrogen plasma passivation step, hydrogen plasma reduction step, and oxygen plasma etching step. The passivating layer of RuN formed by N2 plasma exposure can be reduced in H2 plasma. Bias applied to the substrate during the H2 step results in the selective reduction of RuN on the vertically-exposed surface, leaving a layer of RuN on the sidewalls. During the O2 plasma step, RuN passivates the sidewall from O radicals that would otherwise etch the sidewall. The two surface modification steps cause the normally isotropic O2 plasma etch to become anisotropic, while maintaining a high selectivity vs. SiO2 and SiN used as the hard mask. The sequential process has been experimentally shown to be anisotropic and selective, and results in lower resistivity and surface roughness vs. O2 plasma alone. To understand the effects of the surface modification steps on the overall process, each step of the sequential process has been examined, including the surface composition and structure of the Ru film after each plasma exposure. RuO2 and RuN thin films were deposited as references, allowing the presence of RuN after nitridation to be confirmed using XPS and XRD. The etch rate of nitridated Ru films was found to be 0.4 nm per minute in O2 plasma, more than 15 times less than the etch rate of Ru in O2 plasma at the same conditions. Removal of the N2 plasma step from the process resulted in isotropic etching, confirming that nitridation is responsible for sidewall passivation. XPS was used to confirm that most, but not all of the RuN surface layer is reduced after exposure to H2 plasma. H2 plasma was also found to significantly affect the etching of hard mask SiO2. Reduction of the plasma density by lowering the power and increasing the pressure was necessary to reduce SiO2 etching and corner faceting during the H2 step. The combination of surface modification techniques results in a process that has been demonstrated for features down to 32 nm pitch.

5:00 PM AP+PS+TF-TuA-12 Enhanced Control of Plasma Surface Interaction to Etch Alloys Using Transient Assisted Plasma Etching (Tape)
Atefeh Fathzadeh (KU Leuven/ IMEC); Philippe Bezard (IMEC Belgium); Thierry Conard, Frank Holsteyns (IMEC, Belgium); Stefan De Gendt (KU Leuven/Imec)

A novel plasma process design called transient-assisted plasma process (TAPP) has been recently introduced to tackle multiple patterning challenges brought by the introduction of 3D devices, new ultra-thin films, and compound materials without suffering from the poor throughput of Atomic layer etching. In the realm of dry-etching, it has exhibited promising patterning capabilities at etch rates compatible with high-volume manufacturing. In deposition applications, It also has demonstrated superior control over precursor dosage and fragmentation for in-situ hard-mask deposition compared to conventional methods. Moreover, from a sustainability perspective, Transient-assisted processing (TAP) presents considerable advantages by notably reducing the consumption of problematic gases. TAPE operates in cycles, involving at least two phases: Time-limited injection of the reactant (with or without plasma), and when the injection is stopped, a gas transient happens in the plasma, where the reactant concentration diminishes over time, as shown in Figure 1. The fluence of reactive species is regulated by the gas pulse characteristics (partial pressure, etc.) and its associated plasma transient, while ion fluence is governed by the duration of the plasma phase. This segregation yields significantly enhanced control over plasma-surface interactions compared to conventional plasma etching techniques. This design is compatible with any gas mixture, and energy sources (ions, photons, electrons, fast neutral species/clusters, etc.).

Enhancing control over plasma-surface interactions holds promise in rectifying the uneven etching observed in multicomponent materials like alloys. This imbalance in etching induces a compositional drift, thereby impeding the process and deteriorating material characteristics as shown in Figure 2a. Typically, one component undergoes predominantly chemical etching, while the other experiences primarily physical etching. In TAPE, most chemically-driven etching occurs early during the plasma step when a substantial amount of etchant is present. The modified surface/profile will then be exposed to a reduced etchant quantity and a continued ion bombardment. Each cycle is thus capable of providing the necessary species for a balanced etching of the compound’s elements. Figure 2b compares the chemical composition of InGaZnO after conventional etching and TAPE, while Figure 2c compares the etch rate, and profile relative to a previously published ALE process. Meanwhile, TAPE consumes 25 times less CH4 than the ALE process.

View Supplemental Document (pdf)
5:15 PM AP+PS+TF-TuA-13 Leveraging Plasma Nitridation for Atomic Layer Etching of Ni3Al
Taylor G. Smith (University of California, Los Angeles); Jean-François de Marneffe (imec); Jane P. Chang (University of California, Los Angeles)

Extreme ultraviolet (EUV) lithography is entering a new era with high numerical aperture (NA) EUV, increasing the importance of integrating new absorber layer materials like Ni3Al to mitigate mask 3D effects. However, Ni3Al adoption has been limited by difficulties in anisotropically etching Ni3Al with high selectivity to the underlying Ru capping layer. A previously reported ALE based on plasma oxidation was shown effective at etching Ni3Al but had poor selectivity to Ru, which etches spontaneously in oxygen plasma. An atomic layer etch (ALE) based on plasma nitridation and formic acid (FA) vapor exposure was previously demonstrated to etch Ni1, and in this work the nitridation-based ALE process is extended to the more complex and industrially relevant Ni3Al.
X-ray photoelectron spectroscopy (XPS) is used to analyze the surface composition of blanket Ni3Al films after plasma nitridation, showing the nitrided films have a stoichiometry of Ni2.4AlN. The effects of plasma power, from 200 to 700 W, and pressure, from 8 to 50 mTorr, on plasma nitridation are examined, with current results indicating that high power and low pressure lead to the greatest amount of surface nitridation. Langmuir probe measurements are used to correlate these effects with changes in plasma density and electron temperature. For the removal half-cycle, FA vapor was shown to remove the nitride layer as indicated by the disappearance of the characteristic metal nitride peak in the N 1s spectrum at 397.2 eV. XPS also shows depletion of Ni as successive ALE cycles are applied, an effect that can be mitigated using basic etchants due to their selective removal of Al over Ni. Selectivity to Ru is measured by etching blanket Ru films and measuring the thickness with SEM, with a current selectivity of 2.4. To assess anisotropy, Ni3Al is first conformally deposited over a patterned Si substrate by physical vapor deposition (PVD), and the samples are subsequently processed by ALE. The ion energy of the nitrogen plasma is varied by changing the voltage applied to an ion extraction grid from -100 to +100 V, with the sidewall Ni3Al etch compared to the vertical Ni3Al etch using scanning electron microscopy (SEM).

1 T.G. Smith, A.M. Ali, J.F. de Marneffe, J.P. Chang, J. Vac. Sci. Technol. A 42, 022602 (2024).

5:30 PM AP+PS+TF-TuA-14 Precision Etching for Vertical GaN Nanowire Transistor Fabrication: A Focus on Atomic Layer Etching
Amin Karimi, Reza Jafari Jam, Intu Sharma, Oscar Danielsson, Muhammad Asif, Yoana Ilarionova (AlixLabs A.B.); Ashutosh Kumar, Peter Ramvall (RISE Research Institutes of Sweden); Dmitry Suyatin, Jonas Sundqvist (AlixLabs A.B.)

Power electronics are essential for our daily lives, driving everything from consumer gadgets to industrial machinery. Gallium Nitride (GaN) stands out in this domain for its superior electrical properties, offering higher efficiency and power density compared to traditional silicon-based devices. Vertical GaN nanowire (NW) high-electron-mobility transistors (HEMTs) represent the forefront of GaN-based technologies, boosting advantages such as increased power density, reduced switching losses, improved heat dissipation, enhanced breakdown voltage, scalability, and radiation hardness. Despite these benefits, conventional fabrication techniques for GaN NW transistors face challenges. Non-uniform mask etching can lead to variations in NW diameters, impacting device performance. Reactive ion etching (RIE), which is often used for mask etching, may damage the substrate surface, compromising interface quality and device functionality. Surface irregularities exacerbate electron scattering, increasing energy dissipation and degrading electrical interfaces. Addressing these issues, atomic layer etching (ALE) emerges as a solution. ALE operates at the atomic level, removing one layer at a time without harming the substrate. Unlike traditional methods, ALE ensures a high-quality interface for improved device performance.

In our study, we utilize ALE to enhance the fabrication of GaN NW-based HEMT transistors. Our method employs fluorine-based chemistry for uniform SiN mask etching, enabling precise pattern transfer, and facilitating uniform NW growth. Additionally, we introduce chlorine-based ALE to refine substrate surfaces, reducing roughness and improving device performance. AFM characterization reveals a substantial decrease in surface roughness, directly contributing to enhanced electrical performance. We use Retarding Field Energy Analyzer (RFEA) data to optimize ALE parameters for different etching steps, and enhancing device fabrication.

In conclusion, our study highlights the potential for ALE processes to improve GaN NW-based HEMT transistor fabrication. By addressing mask uniformity and substrate surface roughness issues, ALE enables the production of GaN devices with superior interface quality and enhanced electrical performance.

Aknowledgemtn The ALL2GaN Project (Grant Agreement No 101111890) is supported by the Chips Joint Undertaking and its members including the top-up funding by Austria, Belgium, Czech Republic, Denmark, Germany, Greece, Netherlands, Norway, Slovakia, Spain, Sweden and Switzerland.

Session Abstract Book
(329KB, Jul 23, 2024)
Time Period TuA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule