AVS 70 Session AP1+EM+PS+TF-TuM: Atomic Layer Etching I: Thermal Processes

Tuesday, November 5, 2024 8:00 AM in Room 116
Tuesday Morning

Session Abstract Book
(314KB, Jul 23, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule

Start Invited? Item
8:00 AM Invited AP1+EM+PS+TF-TuM-1 Highly Selective and Isotropic Atomic Layer Etching using Dry Chemical Removal
Nobuya Miyoshi (Hitachi High-Tech America, Inc.)

As semiconductor devices shrink to sub-10 nm dimensions, the introduction of new device structures, integration schemes, and materials brings many challenges to device manufacturing processes. A new structure with gate-all-around (GAA) nanosheets has been introduced to reduce the power consumption of transistors and achieve higher transistor integration density. For advanced semiconductor memory devices, 3D NAND flash has been introduced to achieve higher bit densities. Fabricating these three-dimensional (3D) devices requires isotropic etching of thin films with atomic layer control, high selectivity to underlying materials, and high uniformity over high-aspect-ratio 3D structures. Thermal atomic layer etching (ALE) is a promising method for isotropic etching with atomic-level precision and high conformality over three-dimensional structures. We developed a dry chemical removal (DCR) tool with an infrared (IR) wafer annealing and quick cooling system to perform highly selective thermal ALE for various materials. Thermal ALE was demonstrated for Si3N4 and SiO2 films using the formation and desorption of (NH4)2SiF6-based surface-modified layers. Thermal ALE processes for W and TiN films were also demonstrated by the formation and desorption of halogenated surface-modified layers. These ALE processes show a self-limiting formation of modified layers, enabling conformal and precisely controlled etching. In addition, spontaneous and highly selective etching of SiO2 was demonstrated on the DCR tools using HF/CH3OH vapor. SiO2 films can be etched spontaneously with high selectivity to SiN films when the temperature of the substrate is lower than −20ºC.

8:30 AM AP1+EM+PS+TF-TuM-3 Selective Si or Ge Dry, Thermal Spontaneous Etching Using HF Vapor
Marcel Junige, Troy Colleran, Steven M. George (University of Colorado Boulder)

Advanced 3D structures in microelectronics require novel self-aligned techniques. For example, selective etching may remove one specific material while leaving intact others in proximity. This multi-color challenge gets particularly difficult for selectivity between Si-based materials, as well as between Si and Ge. Instead of plasma activation, this work focused on developing gas-phase spontaneous etch pathways based on thermal chemistry using anhydrous hydrogen fluoride (HF) vapor.

In situ spectroscopic ellipsometry experiments discovered substantial spontaneous etching of Si by HF at 275℃. The Si etch rate was 12.2 Å/min at an HF pressure of 3 Torr. The Si etch rate increased further with higher HF pressures. In addition, Si etched selectively with an exceptionally high selectivity factor of >1,000:1 versus the retention of SiO2 and stoichiometric Si3N4.

Temperature-programmed quadrupole mass spectrometry (QMS) experiments confirmed the spontaneous etching of crystalline Si nano-powder by HF. SiF4 and H2 were observed as the main volatile etch products. QMS detected the SiF4 product with a gradual onset above ~175℃. This temperature dependency indicated that the etch reaction may be limited by SiF4 desorption from the Si surface. SiF4 desorption from fluorinated Si surfaces has been reported to occur at >125℃.

Additional QMS experiments also observed the spontaneous etching of Ge nano-powder by HF. GeF4 and H2 were revealed as the main volatile etch products. QMS detected GeF4 already at 25℃. The GeF3+ signal intensity increased with elevating temperature and exhibited a maximum at ~80-85℃. Subsequently, the GeF3+ signal decreased below the noise level above ~125℃.

These results suggest that Si etching could be achieved selectively over Ge retention at >175℃. Likewise, Ge etching could be accomplished selectively over Si retention at <125℃. This reciprocal selectivity between Si and Ge etching will depend on the influence of proximity effects resulting from the volatile etch products when Si and Ge are both present in the reactor.

8:45 AM AP1+EM+PS+TF-TuM-4 Theoretically Designed Thermal Atomic Layer Etching Processes for Interconnect Metals 
Miso Kim, Hyeon Cho (Hongik University); Dongjun Lee, Jeongbin Lee, Jung Tae Kim, Woo-Hee Kim (Hanyang University); Bonggeun Shong (Hongik University)

Atomic layer etching (ALE) is emerging as a key technology for the precise and selective removal of materials at the atomic level, especially for manufacturing of nanoscale three-dimensional semiconductor devices. Previously known thermal ALE processes often involve two-step sequence of surface chemical reactions: surface modification of the substrate such as halogenation, followed by volatilization using another etchant. For example, a recent study reported a thermal ALE process for Ni, employing SO2Cl2 for halogenation and P(CH3)3 for volatilization via ligand addition [1]. Since ALE process is based on self-limiting surface chemical reactions, it is desirable to design ALE processes by understanding their surface reactions. However, the mechanistic aspects of such thermal ALE reactions remain significantly underexplored. In this work, we employed neural network potential (NNP) calculations to screen for suitable process conditions for thermal ALE process of metals, especially those considered as materials for nanoscale interconnects. Our findings demonstrate that several metals are capable of forming energetically stable volatile compounds via halogenation and ligand addition of thermal molecular etchants. Several novel thermal ALE processes that were theoretically predicted are experimentally demonstrated with varying etch rates and selectivity between the substrates. Overall our study show the applicability of theoretical analysis of the surface chemical reactions toward design of novel ALE processes.

References. (1) Murdzek, J. A.; Lii-Rosales, A.; George, S. M. Chem. Mater. 2021, 33 (23), 9174–9183.

Acknowledgments. This work was supported by Samsung Electronics.
9:00 AM AP1+EM+PS+TF-TuM-5 X-ray Photoelectron Studies of Removal of Sputter Damage from InGaP Surfaces Using Thermal Atomic Layer Etching
Ross Edel (University of Colorado Boulder); Ezra Alexander (MIT); Andrew Cavanagh (University of Colorado Boulder); Taewook Nam (Soonchunhyang University); Troy Van Voorhis (MIT); Steven George (University of Colorado Boulder)

InGaP is widely used for red LED devices. Surface defects on LED devices can lead to non-radiative electron/hole pair recombination that reduces their light output. This light quenching effect is more severe for smaller µLEDs that have high surface-to-volume ratios. The surface defects are believed to be formed by energetic ion species during plasma processing that forms the µLEDs. Thermal ALE may provide a method to remove these defects.

To quantify the removal of surface damage, x-ray photoelectron (XPS) studies employed InGaP samples sputtered with argon as a model system. These InGaP samples simulate the µLED sidewalls that are exposed to energetic species during plasma processing. Thermal InGaP ALE was then performed using static, sequential HF and dimethylaluminum chloride (DMAC) exposures. This procedure yielded etch rates of 0.5–1.0 Å/cycle at temperatures from 300–330 °C, respectively. This work was conducted using a new apparatus that combines a hot wall ALD/ALE reactor with in vacuo Auger spectroscopy to allow sample characterization without exposure to air.

InGaP samples damaged by Ar+ ion sputtering were analyzed using ex situ X-ray photoelectron spectroscopy (XPS) before and after thermal ALE by tracking the shifted components in the P 2p XPS region that correspond to sputter damage. An ex situ XPS scan of a sputtered InGaP sample displayed two shifted doublets (Figure 1a) while an unsputtered sample showed only a bulk doublet (similar to Figure 1b). DFT simulations identified the higher energy doublet as phosphorus directly bound to three-coordinate indium or gallium and the lower energy doublet as three-coordinate phosphorus (Figure 2a). In contrast, the DFT simulations showed only a bulk doublet for InGaP without under-coordinated surface atoms (Figure 2b).

InGaP ALE can then remove the XPS features associated with the damaged lattice. A bulk-like XPS spectrum showing minimal damage was recovered after 50 ALE cycles for a sample initially exposed to 500 eV sputtering (Figure 1b). By contrast, annealing for 72 hours at 300 C without etching was not sufficient to eliminate the damage. AES analysis similarly showed that the argon implanted in the sample by sputtering was removed after etching but not annealing. Increasing the sputtering ion energy to 2 keV required more extensive etching to remove the sputter damage. In this case, 100 ALE cycles were able to largely remove the surface defects. The corresponding AES data showed a linear decrease in implanted argon and reached zero after 100 ALE cycles. The etch depth consistent with 100 ALE cycles indicates a damaged material depth of ~4 nm.

View Supplemental Document (pdf)
9:15 AM AP1+EM+PS+TF-TuM-6 Temperature-Dependent Atomic Layer Etching of Polycrystalline, Epitaxial, and Doped ZnO Films: An in situ Spectroscopic Ellipsometry Investigation
Terrick McNealy-James, S. Novia Berriel, Brian Butkus, Parag Banerjee (University of Central Florida)

Atomic layer etching (ALE) stands out as a remarkable technique for precise Angstrom-level control for material removal.With a growing demand in the semiconductor and nanotechnology sectors, ALE becomes a promising solution to address evolving challenges in patterning and in the shrinking of device dimensions.

In this work, we apply ALE to zinc oxide (ZnO) films, focusing on the influence of the film’s structure (i.e., crystallinity and doping) on the etch rates. Utilizing in situ spectroscopic ellipsometry, we comprehensively map the etch rate as a function of temperature and pulse times for the reactants. The resulting 3D contour plot of etch rate vs.temperature and pulse time defines the process parameter ‘window’. Notably, this plot is visually captivating and aids in the comprehension and optimization of the ALE process.

The ALE process employed for ZnO etching consists of alternate pulses of acetylacetone and O2 plasma, spanning temperatures from 120 °C to 300 °C.1The etch rates of epitaxial, single crystalline films are compared with polycrystalline ZnO. The effect of dopants, such as Al3+on the etch rates of ZnO films are explored. The impact of ALE chemistry in determining etch rates in films with crystal facets, grain boundaries and dopants are discussed with implications to future device manufacturing.

References:

(1) A. Mameli, M. A. Verheijen, A. J. M. Mackus, W. M. M.Kessels, and F. Roozeboom, ACS Appl. Mater. Interfaces, vol. 10, 38588, (2018).

9:30 AM Invited AP1+EM+PS+TF-TuM-7 Examination of Atomic Layer Etch Mechanisms by Nuclear Magnetic Resonance Spectroscopy
Taylor G. Smith, Jane P. Chang (University of California, Los Angeles)

As atomic layer etching (ALE) becomes more widespread, there is a need to better understand the underlying mechanisms by which it operates. Of particular interest is the removal half-cycle of thermal ALE wherein a vapor-solid reaction leads to the formation of a volatile etch product. Solid state magic angle spinning nuclear magnetic resonance (MAS-NMR) can provide a wealth of structural and chemical information, but it has not yet been used to examine ALE reaction mechanisms. In this work, MAS-NMR of CuO etched in solution phase formic acid (FA) is demonstrated and compared with prior density functional theory results1. Although it may seem a simple system, the paramagnetic nature of CuO and the formation of many products with slightly different structures both lead to complicated NMR spectra. The issue of significant peak broadening by paramagnetic relaxation can be alleviated in part by spinning at very fast speeds (>30kHz). From the resulting spectra, confirmation of Cu(HCOO)2∙4H2O as a product is possible by comparison to a commercial reference powder. However, assignment of other peaks requires correlation spectroscopy showing 13C-13C and 1H-13C correlations to deduce the structures of the various products, illuminating the reaction landscape of CuO with FA. To extend these findings to the vapor-solid interactions present in ALE, a special heterogeneous NMR rotor can be used that was originally designed for use with high pressure gases. To adapt this rotor use with lower pressure FA vapor, a novel rotor packing method that separates the FA from the CuO prior to vaporization is presented.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
Session Abstract Book
(314KB, Jul 23, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 70 Schedule