AVS 68 Session MS-TuP: Manufacturing Science and Technology Poster Session

Tuesday, November 8, 2022 6:30 PM in Ballroom A

Tuesday Evening

Session Abstract Book
(221KB, Nov 18, 2022)
Time Period TuP Sessions | Topic MS Sessions | Time Periods | Topics | AVS 68 Schedule

MS-TuP-2 Materials Metrology Using in-Line SIMS System for Improved Manufacturing Process Control in Advanced Nodes
Ganesh Vanamu, Julia Hoffman, Lawrence Rooney, Sarah Okada (Nova Measuring Instruments)

As the semiconductor industry transitions more rapidly towards advanced technology nodes, devices are getting more complex and process challenges are increasing dramatically. Moreover, an abundance of new materials requires inline control of critical parameters, such as composition, dopant, thickness and local variations within the device.

For example, Germanium content in SiGe correlates to channel stress, and the Ge fraction has been increasing steadily as technology rapidly advances towards 3D structures. When stress is high, epi layers can suffer from multiple problems such as defect formation, facet formation, non-uniform strain. To enable process control on the Ge%, and Boron doping concentration in the complicated SiGeB epi stacks is critical in high-volume manufacturing (HVM), and there is no single in-line metrology technology that can perform the measurement.

Ion implantation, mainly Boron, Phosphorous, and Arsenic, has a long history of use in semiconductor manufacturing. After implantation, the concentration distribution of the implanted ions within the material will resemble a Pearson IV distribution., Secondary Ion Mass Spectroscopy (SIMS) is the only metrology method capable of measuring the peak concentration, peak depth, and dose simultaneously.

Contamination introduced during semiconductor processing is another critical concern because it can degrade device performances and can eventually cause device failures. Contaminants like Chlorine (Cl– ions) cause Al-Cu corrosion and metal cross- contamination in integrated circuits that could lead to wafer scraps. Integration and reliability issues can result from diffusion of Fluorine into underlying films or substrates. Therefore, there is a need for in-line monitoring of these contaminants.

The metrology goal is to enable automated in-line measurements that can be used for process monitoring of material concentrations, with vertical resolution. This paper explores the use of in-line SIMS technology, enabling depth profiles of the materials composition in the critical deposition steps in HVM, previously limited to a lab environment. This paper describes how in-line SIMS can be used to measure SiGe epi material composition profiles (such as thickness, Ge% and Boron concentration) as a function of depth. This study also shows measurements of peak concentration, peak depth, and dose of Boron implantation simultaneously to provide better implant process control. This paper also evaluates the detection sensitivity levels of contaminants like C, F, Cl in positive and negative ion mode with an Oxygen primary ion beam, as well as the use of proxy species or alternate isotopes for improved results.

Session Abstract Book
(221KB, Nov 18, 2022)
Time Period TuP Sessions | Topic MS Sessions | Time Periods | Topics | AVS 68 Schedule