AVS2015 Session EM-ThM: Interconnects II

Thursday, October 22, 2015 8:00 AM in Room 211C

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
8:00 AM EM-ThM-1 John A. Thornton Memorial Award Lecture - PECVD Low and Ultralow Dielectric Constant Materials: From Invention and Research to Products
Alfred Grill (IBM Research Division, T.J. Watson Research Center)
In April this year we celebrated the 50th anniversary of Moore's law which has been followed by the semiconductor industry together with Dennard's scaling law, resulting in continuously improved performance and increased density of the semiconductor microprocessors. For 22 years this was accomplished using the same material composed of Si, Al, O, N, and shrinking the dimensions of the devices. At the 0.25 mm node, the interconnect became the bottleneck to the improvement of the performance of the VLSI integrated circuits and required the introduction of new materials to reduce its RC. After the replacement of Al with the more conductive Cu in 1997, it took seven more years to replace the SiO2 insulator (k=4) of the interconnect with a low-k dielectric. While the academia and industry were aware already in the 1990s of the need for a low-k dielectric and many potential materials were developed and investigated, none could be integrated in a VLSI product requiring multiple revisions of the. The early, unsuccessful efforts were focused on polymeric or hybrid organic-inorganic films fabricated by spin-on techniques. Realizing that PECVD materials would have superior properties to those of the spin-ons, we invented, developed and characterized the carbon-doped oxide SiCOH, deposited by PECVD, obtaining a material with a dielectric constant k=3 which was successfully integrated in VLSI products starting at the 90 nm node and became the industry standard as the interconnect dielectric. This development has continued with the invention of porous pSiCOH ultralow-k (ULK) dielectrics with further reduced k values. pSiCOH with k as low as ~2 was demonstrated in research lab and a materials with k=2.4 was successfully implemented in products at the 45 nm node, to maintain a reduced capacitance for the scaled dimensions of the shrunken technology nodes.

The talk will discuss the development and optimization of the PECVD SiCOH and pSiCOH dielectrics, integration issues related to these materials of reduced mechanical and chemical properties compared to SiO2, understanding the correlation between process parameters, dielectric characteristics and the reliability of the integrated interconnect, and the current state of the art of the interconnect dielectrics which enabled the continuation of Moore's law into nanoscale dimensions.

8:40 AM EM-ThM-3 Moving Across the Periodic Table: Amorphous Hydrogenated Boron Carbide for Low-k Dielectric Applications
Michelle Paquette, Bradley Nordell, Thuong Nguyen, Sudhaunshu Purohit, Anthony Caruso (University of Missouri-Kansas City); William Lanford (University at Albany-SUNY); Patrick Henry, Sean King (Intel Corporation)

A major challenge facing the semiconductor industry is the development of new low-dielectric-constant (low-k) materials for metal interconnects to mitigate the issues surrounding resistance–capacitance (RC) delays as dimensionality is reduced. Such materials include not only bulk inter-/intra-layer dielectrics (ILDs), but also more specialized layers such as Cu diffusion barriers, etch stop layers, and hard masks. Traditional materials for these purposes have been derived from the Si family; however, it is becoming increasingly challenging to tailor these to meet all of the integration requirements, particularly maintaining mechanical and chemical resilience as k is lowered. Toward this end, amorphous hydrogenated boron carbide (a-BxC:Hy) is an intriguing alternative. Amorphous BxC:Hy is a unique solid based on six-coordinate icosahedral ‘molecular’ units. As a semi-insulating low-density solid with one of the lowest possible average atomic numbers, Z (H = 1, B = 5, C = 6), a-BxC:Hy is expected to feature a low dielectric constant, while also inheriting the appealing properties of crystalline BC, which include extreme hardness, as well as thermal and chemical robustness. We describe novel a-BxC:Hy materials for low-k ILD, diffusion barrier, and etch stop applications with dielectric constant (<3.5), leakage current (<10–8 A/cm2 at 2 MV/cm), and mechanical properties (Young’s modulus >100 GPa) that meet or surpass those of Si-based materials currently being used for these applications. We further explore some of the fundamental similarities and differences between Si- and BC-based classes of materials.

9:00 AM EM-ThM-4 Enhancing Mechanical and Fracture Properties of ULK Materials with Filled Pores
Scott Isaacson (Stanford University); Krystelle Lionti, Willi Volksen, Teddie Magbitang (IBM Almaden Research Center); Reinhold Dauskardt (Stanford University); Geraud Dubois (IBM Almaden Research Center)

Pore filling has emerged as a promising strategy for the protection of ultra-low-k dielectrics (ULK) against plasma-induced damage [1-3]. In this work we use polymers with a wide range of molecular weights (103 – 106 g/mol) to create filled ULK materials, leading to uniform penetration, a high level of fill (~100%), and confinement of polymer chains to dimensions far smaller than their bulk radius of gyration. This confinement alters the conformations and inter-molecular interactions of the polymer phase, resulting in novel fracture behavior that has important implications for the reliability of pore-filled ULK materials.

Despite its promise as a processing technique, the effects of pore filling on the mechanical properties, fracture strength, and reliability of these backfilled ULK materials remain poorly understood. We show that the mechanical and fracture properties of a nanoporous ULK matrix can be considerably improved by filling the porosity with a polymeric second phase. Importantly, the degree of toughening increases significantly with the polymer molecular weight, and is also found to depend on processing conditions. We show that the mechanism for toughening is based on the pullout of individual confined polymer chains from the ULK matrix, distinct from the more common entanglement-based mechanisms seen in bulk polymers. This mechanism is quantified with a model that describes the nanomechanical processes occurring on the length scale of individual pores.

Nanoindentation measurements demonstrate that pore filling with confined polymers also improves mechanical properties such as Young’s modulus and hardness. Furthermore, we present subcritical crack growth measurements that highlight the mixed effects of pore filling on the moisture-assisted cracking of ULK materials. This study provides new insight into the mechanical behavior of pore-filled ULK materials and suggests potential routes for increasing the cohesive strength of materials where the traditional bulk toughening mechanisms may be absent.

[1] T. Frot, W. Volksen, S. Purushothaman, R. Bruce, G. Dubois, Adv. Mater. 2011, 23, 2828-32.

[2] T. Frot, W. Volksen, S. Purushothaman, RL. Bruce, T. Magbitang, DC Miller, VR. Deline, G. Dubois, Adv. Funct. Mater. 2012, 22, 3043-3050.

[3] W. Volksen, K. Lionti, T. Magbitang, G. Dubois, Scripta Mater., 2014, 74, 19-24.

9:20 AM EM-ThM-5 Status Update on a New Class of Solution Processable Low-k Dielectric Coatings for Use as ILD with K < 2.4
Hash Pakbaz, Nigel Hacker (SBA Materials); Zsolt Tokei, Mikhail Baklanov (IMEC, KU Leuven Belgium)

Liquid Phase Self Assembly (LPSA) is used as a unique approach to create porous carbon-doped SiOx solution processable coatings. In this approach, self assembling organic template, in a solution consisting of various silanes and other additives, form nano-particles. These nano-particles are the basis for pore formation in the final coated film where-by to minimize the over-all energy of the system, the nano-particles tend to maximize their respective distances in solution and during the coating process. Thin films are coated using spin coating and the templates are removed by thermal curing or UV curing the coated film without pore collapse. This leads to formation of “ordered” porous coatings where pore overlap does not occur until high levels of porosity (> 60%) are introduced. As a result, low-k dielectric coatings with k values below 2.0 can be achieved with excellent mechanical properties. In this paper, fundamental properties of LPSA low-k dielectric, integration results in advanced node BEOL dual-damascene structures as well as solution storage lifetime consistent with meeting requirements for production are presented.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM EM-ThM-10 The Effect of Vacuum Ultraviolet Irradiation on TDDB of Low-K Dielectrics using Synchrotron Radiation
Dongfei Pei, Michael Nichols (University of Wisconsin-Madison); Sean King, James Clarke (Intel Corporation); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)
Time-dependent dielectric breakdown (TDDB) is a major concern for low-k dielectrics. Plasma processing, which involves ion bombardment and vacuum ultraviolet (VUV) irradiation, has been shown to cause TDDB degradation of low-k dielectrics. [i] Synchrotron radiation provides high-intensity monochromatic VUV photons with continuous variable wavelength. In this work, synchrotron irradiation between 5 and 20 eV was used to simulate VUV photon irradiation from a plasma without any particle flux. In this work porous organosilicate glass (SiCOH) was irradiated. A Cu / capping layer / SiCOH / titanium stack structure was fabricated so as to analyze the TDDB lifetime of both pristine and VUV-irradiated dielectrics. The photon flux varies with the wavelength so the irradiation time was chosen to produce the same amount of photon fluence at each photon energy. VUV photon energies larger than 7eV were found to create damage to porous SiCOH and cause TDDB degradation. With a photon fluence of 1016 photons/cm2, VUV photons with higher energies tend to cause more TDDB degradation.

This work was supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.


[i] M. T. Nichols, H. Sinha, C. A. Wiltbank, G. A. Antonelli,Y. Nishi, and J. L. Shohet, Appl. Phys. Lett 100, 112905 (2012)

11:20 AM EM-ThM-11 Influence of Porosity on VUV Induced Damage to Low-K Dielectrics
Faraz Choudhury (University of Wisconsin-Madison); Jean-François de Marneffe, Mikhail Baklanov (IMEC, Belgium); Sean King (Intel Corporation); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)

During various stages of plasma processing, low-k dielectrics are exposed to high levels of VUV radiation emitted from the plasma. Modern ultra-low-k materials have interconnected pores within the dielectric layer that make them susceptible to damage due to their low density and deep penetration of active species into the film. In this work, VUV induced degradation of low-k dielectrics as a function of porosity is investigated. Organosilicate low-k films of porosities between 15% (k=2.7) and 50% (k=1.9) were exposed to synchrotron VUV radiation with energies ranging from 6 -12eV. Both electrical and chemical properties of the films were analyzed to find the most damaging spectral region. FTIR spectra showed increased depletion of the methyl group after VUV irradiation as a function of film porosity and CV measurements showed an increase in the dielectric constant along with a flat-band voltage shift. IV characteristics of the VUV irradiated porous films indicated an increase in leakage currents and lower breakdown voltage. The degree of damage is higher for the more porous films indicating that the introduction of pores deteriorate the electrical properties of the low-k films. The higher leakage currents in the more porous films were attributed to more porogen residues within the dielectric layer. To remove the porogen residues, the films are treated with hydrogen downstream plasma at 300O C. FTIR measurements confirm removal of porogen residues and leakage currents are significantly reduced after the hydrogen-annealing process.

This work has been supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and the National Science Foundation under Grant No. CBET-1066231.

References

[1] K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S.H. Brongersma, and Z.S. Yanovitskaya, J. Appl. Phys. 93, 8793 (2003)

11:40 AM EM-ThM-12 An Electron Paramagnetic Resonance Study of Processing Effects in Porous Low-κ Dielectrics
Michael Mutch, Patrick Lenahan (Pennsylvania State University); Sean King (Intel Corporation)

We utilize electron paramagnetic resonance (EPR) to study defects in porous, low-κ SiOC:H powders as a function of processing parameters. The powders were generated by mechanically removing the SiOC:H films from sililcon substrates. The SiOC:H powders studied have previously been investigated after remote hydrogen plasma (RP) and UV cures.[1] To better understand the role of RP and UV curing on defect chemistry, we utilize EPR measurements at each processing step.

First, EPR measurements were performed on a an SiOC:H powder before and after UV treatment. Prior to UV treatment, a spectrum with a zero-crossing g of 2.0027 with a line width of 4.5 Gauss is detected. The measured defect concentration prior to UV treatment is about 3x1015 cm-3. The zero-crossing g suggests a carbon dangling bond defect. Post UV treatment, a carbon dangling bond spectrum is detected with a corresponding defect concentration of 2.1x1017 cm-3.[1] The large increase in carbon dangling bond concentration post UV anneal suggests an incomplete removal of the sacrificial porogen resulting in carbon dangling bond defects.

Second, an SiOC:H powder is studied before and after remote hydrogen plasma (RP) and UV treatments. Via fast passage EPR, two overlaying signals are detected prior to RP and UV cures. The two signals are identical in line width and zero-crossing g to spectra which have been provisionally attributed to two carbon dangling bond centers termed Cdb1 and Cdb2 by Pomorski et al.[1] Pre RP and UV cure, the measured defect concentrations of Cdb1 and Cdb2 are 2.1x1017 cm-3 and 2x1015 cm3, respectively. Post RP (but prior to UV treatment), the Cdb2 signature is detected and has a defect concentration of 1.8x1015 cm-3. The Cdb1 signature, is not detected. This suggests that the hydrogenation of these films via RP is successful in removing some carbon dangling bonds. Post RP and UV cure, a defect with a line width of 11 Gauss and a zero-crossing g of 2.0028 is detected at a concentration of 5x1017 cm-3.[1] The post UV processed zero-crossing g close to that of the free-electron g suggests the presence of carbon dangling bond defects. Again, we tentatively attribute these defects to an incomplete removal of sacrificial porogens from the films.

[1] T. A. Pomorski et al., J. Appl. Phys. 115, 234508 (2014).

12:00 PM EM-ThM-13 The Effects of VUV Radiation on Low-k Organosilicate Glass (SiCOH) as Measured with Electron-Spin Resonance
Panpan Xue, Huifeng Zheng, Weiyi Li (University of Wisconsin-Madison); Jean-François de Marneffe (IMEC); Mikhail Baklanov (IMEC, Belgium); Valeri Afanas'ev (Catholic University of Leuven, Belgium); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)

Here, we investigate the effects of VUV radiation on the defect concentrations in SiCOH. Electron-spin resonance (ESR) spectroscopy is a very effective tool to detect defects in dielectrics. It has been used on various high-k dielectrics, such as HfO2[1]. In this work, in order to obtain a clear spectroscopic signal, 60nm SiCOH (k=2.4) was deposited on high-resistivity (3000 Ω-cm) wafers. There are at least two kinds of detectable defects in SiCOH: Si dangling bonds (g=2.0054) and Oxygen vacancy (g=2.002). In this work, we concentrate on the silicon dangling bond defects. In order to eliminate dangling bonds from the silicon substrate as well as its edges, CP4 [2] and HF treatments were used. The samples were treated in three ways before ESR (1) CP4 etch that removes surface and edge damage but leaves the SiCOH on the sample. (2) CP4 followed by an HF etch to remove the SiCOH. (3) same as method (2) except here the SiCOH is covered with wax so the HF only etches bare silicon including its edges. Method 3 passivates dangling bonds on the Si surface so any remaining signal should only from the SiCOH. The measurements showed that the sample with SiCOH remaining (method 3) has roughly 1.6 times the number of Si dangling bonds compared with bare Si. In addition, samples having the same edge areas but smaller surface areas were seen to have fewer defects. This shows that the defects measured with ESR are actually from the SiCOH layer but not the edges of the sample. In order to investigate the influence of VUV radiation, the samples were exposed to synchrotron radiation with photon energies of 12 eV for both 10 and 40 minutes. The ESR measurements showed that the defect concentration increased after 10 minutes of VUV exposure and kept increasing with longer VUV exposure times.This is consistent with the fact that the energy threshold for Si-H bond photolysis at the surface of H-passivated Si is ~7.9 eV[3].The ESR signals have a Lorentzian shape and the Bloch model [4] fits these well. We conclude that silicon dangling bond defects in SiCOH and its interface with silicon can be detected using ESR and that VUV exposure increases the defect concentration.

Work supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and the National Science Foundation under Grant No. CBET-1066231.

[1] H. Ren, S. L. Cheng, Y. Nishi, and J. L. Shohet, Applied Physics Letters, 96 192904 (2010)

[2] ANU Centre for Sustainable Energy Systems (CSES), “HNA (HF:Nitric:Acetic) Etch - Full Procedure (also known as ‘CP4 Etch’)” (2009)

[3] A. Pusel, U. Wetterauer, and P. Hess, Phys. Rev. Lett. 81, 645 (1998)

[4] SC Agarwal, Physical Review B, 7 685 (1973)

Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2015 Schedule