AVS2015 Session EM+NS+PS-MoA: More Moore! II

Monday, October 19, 2015 2:20 PM in Room 210E

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
2:20 PM EM+NS+PS-MoA-1 Effect of Ex Situ and In Situ Surface Cleaning on the Quality of Al2O3-SiGe(001) Interfaces
Kasra Sardashti, Kai-Ting Hu (UC San Diego); Shailesh Madisetti (College of Nanoscale Science and Engineering, Albany-SUNY); Kechao Tang (Stanford University); Serge Oktyabrsky (College of Nanoscale Science and Engineering, Albany-SUNY); Paul McIntyre (Stanford University); Shariq Siddiqui, Bhagawan Sahu (Globalfoundries); Naomi Yoshida, Jessica Kachian (Applied Materials Inc.); Andrew C. Kummel (UC San Diego)

Silicon-Germanium has shown a great promise for future CMOS technology by combining the high hole and electron mobility of Ge with the ability to have both tensile and compressive strain by fabrication of alloys of higher and lower Ge content. In contrast to Si, SiGe native oxide is a combination of SiO2 and GeO2, SiGeOx, which has low interface quality and stability in comparison with SiO2 due to the presence of the GeOx. Therefore, instead of thermal oxide growth, it is necessary to employ atomic layer deposition (ALD) for gate oxide deposition in SiGe MOS devices. The effects of the ex-situ wet chemical clean (such as HF and (NH4)2S dip) and in-situ NH3 plasma clean prior to ALD, were determined on Al2O3/SiGe; interface quality quantified by oxide leakage, interfacial trap density, and near-interface trap density. MOS capacitors fabricated by Al2O3 ALD at 120°C. Compared to HF clean, both ex-situ (NH4)2S clean and in-situ NH3 plasma resulted in smaller density of interface and smaller leakage current in accumulation. Furthermore, both methods resulted in high surface stability in air; queue times up to an hour could be tolerated. Angle-resolved X-ray photoelectron spectroscopy (AR-XPS) measurements on SiGe(001) with 0.8nm thick Al2O3 showed that (NH4)2S clean significantly reduces the amount of GeOx at the in Al2O3/SiGe(001) interface, compared to HF clean.

2:40 PM EM+NS+PS-MoA-2 Surface Passivation for ALD-Al2O3/SiGe MOS Devices
Liangliang Zhang (Stanford University); VinayakVishwanath Hassan, Chi-Wei Lo, Chris Olsen, MajeedA. Foad (Applied Materials Inc.); Paul McIntyre (Stanford University)

We report a study of passivation of the SiGe surface, a critical challenge for future SiGe MOSFET technology. Epitaxially grown p-type SiGe films on lightly doped Si substrates are investigated. The layered surface structures of native oxide coated, as-received SiGe samples are characterized using soft x-ray synchrotron photoemission electron spectroscopy (PES). It is observed that the surface of as- received SiGe wafers have a mixed SiOx/GeOx oxide layer. Angle-resolved PES shows that this layer is SiOx-rich at the top surface and GeOx-rich below. Lab source x-ray photoelectron spectroscopy (XPS), hard x-ray PES and x-ray reflectivity (XRR) are used to characterize the interface region between atomic layer deposited (ALD) Al2O3 gate dielectrics and SiGe. Prior to ALD, 2% HF(aq) solution is used to remove the surface oxides, and a high quality Al2O3 layer on the SiGe substrate is deposited with the help of efficient sites for Al(CH3)3 (TMA) precursor adsorption produced by H2O oxidant pre-dosing of the SiGe surface immediately prior to the TMA/H2O ALD process. It is observed from XPS and PES that there is an increase of the SiOx peak intensity after Al2O3 deposition, while there is little or no detectable Ge core level feature associated with GeOx. The thermodynamic preference of Si (compared to Ge) atoms bonding to oxygen agrees well with the identity of the layered oxide structures extracted by fitting measured XRR data from the processed samples.

Both Pt, a metal that is a known catalyst for H2 dissociation, and Al are investigated as gate metals for ALD-Al2O3/SiGe MOS capacitors (MOSCAPs) subjected to post metal forming gas (5% H2/95% N2) anneal (FGA). The effects of the identity of the gate metal on post-FGA interfacial oxide composition and interface trap response is studied. Capacitance-voltage analysis of Al/Al2O3/p-SiGe MOSCAPs detects minimal frequency dispersion in depletion and accumulation. The extracted density of interface traps is peaked near the valence band, with a maximum value of ~ 3x1011 (eV-1cm-2).

3:00 PM EM+NS+PS-MoA-3 Harnessing Chemistry to deliver Materials and Process for theNext 10 Years of CMOS Evolution
Robert Clark (TEL Technology Center, America, LLC)

Harnessing Chemistry to Deliver Materials and Processes for the Next 10 Years of CMOS Evolution

Robert Clark

TEL Technology Center, America, LLC

Albany, NY 12203

Robert.clark@us.tel.com

The continued scaling of the Integrated Circuits (ICs) according to Moore’s law has led to a doubling of the number of devices per unit area in semiconductor microchips approximately every 2 years since 1962. Over the past decade traditional scaling by simple linear shrinking has effectively ceased as IC makers have adopted new 3-dimensional device structures, complex integration schemes and new processes and materials for an expanding number of applications in order to overcome fundamental physical limits. In order to continue Moore’s law in the coming decade this trend will not only continue, but intensify as devices are scaled to a level approaching atomic dimensions. Broadly speaking, two major trends are influencing the development of future IC manufacturing processes: the need to harness the third dimension to extend Moore’s law; and the need for “self-something” processes. “Self-something” processes refers to processes or schemes that are directed chemically to attain a desired result and includes processes that are self-limited (e.g. ALD or ALE), self-directed (e.g. directed self-assembly or selective deposition), or self-aligned (e.g. self-aligned contacts) in some way that enables device density scaling. “Self-something” processes are required in order to harness the third dimension and make use of new non-planar device architectures (e.g. FinFETs and DRAM capacitors), device arrays/stacking (e.g. 3D NAND and cross-point memory), and 3D integration (e.g. monolithic 3D, and chip stacking). Highly tailored ALD processes are being investigated to fabricate functional material layers. Interspersed treatments and doping may be used to modify the physical and electrical properties of ALD films further in order to optimize the resulting physical or electrical properties. To improve device contacts, ultra-thin dielectric and metal layers may be deposited inside of high aspect ratio contact structures in order to provide lower contact resistivity. Selective deposition processes can be used to deposit functional materials only where they are needed, thus reducing the patterning burden during IC manufacturing. Depositing dopant layers by ALD for thermal solid source doping can be used to conformally dope 3-D device structures without the damage caused by implantation. Examples of these and similar processes will be described and discussed along with the chemical processes and transformations governing film deposition, composition, structure, and interface control.

3:40 PM EM+NS+PS-MoA-5 Materials Selection for Oxide-based Resistive Random Access Memory (RRAM)
John Robertson, Yuzheng Guo (Cambridge University, United Kingdom of Great Britain and Northern Ireland)
Resistive random access memory (RRAM) is a main challenger non-volatile memory technology to Flash memory. The favored materials are based on the formation of a conductive filament of oxygen vacancies across a film of a wide gap oxide. However, a wide range of material systems are presently being studied, which use various different switching mechanisms. Materials selection requires us to understand which material properties control each aspect of device performance, such as switching speed, resistance window, retention time and endurance. Here, the energies of various atomic processes in resistive random access memories (RRAM) are calculated for four typical oxides, HfO2, TiO2, Ta2O5 and Al2O3, to define a materials selection process. Oxygen vacancies have the lowest defect formation energy in the O-poor limit, and to dominate the processes. A band diagram defines the operating ranges of Fermi energy and O chemical potential. It is shown how scavenger metals can be used to vary the O chemical potential and thus vary the O vacancy formation energy. The high stability of amorphous phase of Ta2O5 is relevant to the high endurance of its RRAM.
4:20 PM EM+NS+PS-MoA-7 Lower Temperature Silicon Nitride ALD on Si0.5Ge0.5(110) with No Solid By-product Formation
Steven Wolf, Mary Edmonds, Tyler Kent (University of California at San Diego); Daniel Alvarez (RASIRC); Ravi Droopad (Texas State University); Andrew C. Kummel (University of California at San Diego)

A silicon nitride passivation layer on semiconductor surfaces can serve several practical uses, such as acting as a diffusion barrier or channel passivation layer prior to dielectric deposition in FinFets or MOSFETs. When employed as a channel passivation layer, further reaction with an oxidant, such as anhydrous peroxide, can leave Si-N-OH termination, which is reactive with all metal ALD precursors thereby providing high nucleation density. Previous studies show stoichiometric ALD Si3N4 growth on Si(100) by hydrazine and Si2Cl6 at temperatures in excess of 350°C with solid ammonium chloride by-product formation1. The first half reaction of N2H4 leaves N-Hx surface termination, and the second reaction with Si2Cl6 adds silicon to the surface and creates a gaseous HCl by-product. An ammonium chloride by-product is usually caused by wall reactions of unreacted precursors. This study focuses on developing a low temperature silicon nitride ALD process with no unwanted solid by-product formation. STM/STS and XPS are employed to characterize SiNx film growth on Si0.5Ge0.5(110).

A test chamber consisting of a reactor chamber, dosing lines, and a dry pump was created and heated to 125°C for 12 hours to allow for sufficient heating of all stainless steel components. In excess of 100 ALD cycles were ran in the test chamber with no visible evidence of powder formation on any walls, and it was concluded that this lengthy heating process prior to SiNx ALD is necessary to eliminate the unwanted powder by-product formation. Next, at a substrate temperature of 275°C and wall temperature of 20°C, the silicon nitride ALD procedure was performed on a p-type Si0.5Ge0.5(110) surface that underwent an ex-situ wet organic clean followed by a dip into a 2% HF/water solution with a toluene layer on top. The sample was pulled through toluene and loaded into UHV as quickly as possible to minimize native oxide formation. After a 315 MegaLangmuir anhydrous hydrazine dose, XPS shows N-Hx surface termination, and removal of half of the initial carbon contamination. A subsequent 21 MegaLangmuir Si2Cl6 dose followed by 17 cycles of 3 MegaLangmuir hydrazine and 3 MegaLangmuir Si2Cl6 leads to increased silicon nitride growth as shown by a large increase in XPS Si 2p and N 1s peaks, as well as a decrease in the Ge 3d substrate peak. After the ALD cycling with room temperature walls, a white powder, presumed to be ammonium chloride, was seen in the reactor, but will now be avoided using the 125°C wall temperature.

1. S. Morishita et. al., Appl. Surf. Sci., 112, p:198-204 (1997).

4:40 PM EM+NS+PS-MoA-8 Novel Delivery of Unstable Precursors for Atomic Layer Deposition
Daniel Alvarez, Jeffrey Spiegelman, Ed Heinlein, Russell Holmes, Chris Ramos, Sean Webb, Kari Johnson (RASIRC)

A considerable amount of effort has gone into the development of novel metal precursors for Atomic Layer Deposition (ALD). This is primarily driven by the need for new high K materials and metals films. Largely ignored has been the need for novel oxidants and sources of nitrogen. This paper focuses on the delivery of anhydrous hydrogen peroxide and anhydrous hydrazine for ALD applications.

Hydrogen Peroxide (H2O2) in aqueous form is commonly used in semiconductor manufacturing for cleaning and surface preparation operations. Thirty percent and fifty percent two-component mixtures have been investigated in a few ALD studies with moderate success. Especially noteworthy are Kummel’s findings that the use of hydrogen peroxide leads to a 3x increase in nucleation density on Ge versus water. However, H2O2 has limited general utility in aqueous form due to the volatility of water. At 30C, Raoult’s law predicts a headspace concentration of 294ppm H2O2 and 32373ppm for water, where the H2O/H2O2 ratio is over 100. Clearly these are not optimal conditions for hydrogen peroxide ALD. However, in its pure state, hydrogen peroxide is highly unstable and has a propensity to decompose, forming water and oxygen. Our approach entails the use of a membrane delivery system where 99.6% hydrogen peroxide is dissolved in an organic solvent. Hydrogen peroxide permeates the membrane and is delivered to the ALD chamber, while the solvent does not permeate and remains in the liquid state. In this way, concentrations much higher than predicted by Raoult’s law for aqueous mixtures are delivered to the process chamber in the absence of water.

Next generation devices have low thermal budgets and high aspect ratio structures that create new challenges for ALD grown nitride films. The use of ammonia is limited due to temperature constraints. Known Plasma methods cannot uniformly coat the side walls of the device structures and create surface damage. Hydrazine (H2NNH2) has been proposed as a thermal ALD low temperature nitride source.Hydrazine is highly flammable and its flash point decreases with reduced water content. In an analogous approach, we have developed a new method and formulation for the delivery of anhydrous Hydrazine by the use of an inert organic solvent and membrane delivery system. Precursor vapor pressure is maintained at levels viable for ALD. Moreover, the addition of a high boiling solvent lowers the risk of explosion by raising the solution flash point.

Preliminary ALD data will be presented showing unique properties of these new precursors along with theoretical data on precursor delivery under variable ALD conditions.

5:00 PM EM+NS+PS-MoA-9 Passivation and Functionalization of SiGe(001) and (110) for ALD Nucleation in FinFET Structure
SangWook Park, Hyunwoong Kim (University of California at San Diego); Bhagawan Sahu, Shariq Siddiqui (GLOBALFOUNDRIES U.S. Inc.); Naomi Yoshida, Adam Brandt (Applied Materials, Inc.); Evgueni Chagarov, Andrew C. Kummel (University of California at San Diego)

Silicon Germanium (SiGe) is a promising candidate for FinFET channels, sources, and drains due to its high mobility and utility in strain engineering. Since FinFETs are composed of three-dimensional structures utilizing multiple crystalline planes, the cleaning and passivation must provide uniform and clean surfaces in each plane to combine high mobility with low interface trap density (Dit). In this study, passivation and functionalization of SiGe(001) and (110) surfaces are discussed, using scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy (XPS).

The SiGe(001) is dimer terminated while the SiGe(110) is dimer-free leading to differences in surface stoichiometry and order. STM and XPS measurements indicate that clean (001) is mostly terminated with Ge atoms with a uniform and well-ordered structure while (110) is terminated with adatoms of both Si and Ge atoms and lower surface order. STS measurements indicate the clean (001) surface is unpinned while the clean (110) surface is pinned mid gap between the valence and conduction band edge due to adatom dangling bonds. The sputter cleaned SiGe(110) surface was dosed at 300°C with 3,600L dose of atomic H to passivate the dangling bonds of the adatoms. STS measurements demonstrate the atomic H dosed (110) surface is unpinned with a Fermi level near the valence band due to Si-H and Ge-H bonds on adatoms. The unpinned SiGe (001) and (110) surfaces were dosed at room temperature with a saturation dose of H2O2(g) leaving the SiGe surface terminated with an ordered monolayer of only Ge-OH sites on (001) and both of Ge-OH and Si-OH sites on (110). STS shows that on the HOOH dosed SiGe(001) and (110), the Fermi level is shifted to near the valence band edge due to the large surface dipole from the hydroxyl bonds. TMA was subsequently dosed on the HOOH/SiGe(001) and HOOH/atomic H/SiGe(110) surfaces forming an ordered monolayer of Al-O-Si bonds. In order to understand the thermal stability of the TMA dosed SiGe surfaces, the surface was annealed to 300°C and XPS measurements verify that Al-O bonds are totally transferred from Ge atoms to Si atoms forming Al-O-Si bonds on both (001) and (110) indicating that the strong affinity between Si and oxygen is pulling Si atoms toward the surface to bond with oxygen or hydroxyls while pushing Ge atoms into the subsurface during the annealing. STS indicates this unpins the Fermi level on both surfaces, leaving an electrically passive ordered layer which serves as an ideal template for further high-k ALD.
5:20 PM EM+NS+PS-MoA-10 Band Structure and Critical Points of Pseudomorphic Ge1-ySny Alloys on Ge
Nalin Fernando, Timothy Nunley, Stefan Zollner (New Mexico State University); Dainan Zhang, Ryan Hickey, James Kolodzey (University of Delaware)

We calculate the dependence on composition and strain of the band structure of Ge1-ySny alloys grown pseudomorphically on Ge and compare with spectroscopic ellipsometry measurements. Germanium is an indirect band gap material with limited optoelectronic applications. Because the band structure of Ge is a strong function of strain, a transition from an indirect to a direct band gap has been found for Ge under a tensile strain, which constrains the layer thickness and the composition of the substrate for heterostructure growth. Indirect to direct band gap crossover of unstrained Ge1-ySny has been reported for y~6-10% indicating the possibility of widespread applications of Ge-based photonic devices and paving the way for the design of Ge1-ySny lasers. Hence it is important to study the compositional dependence of the Ge1-ySny band structure through measurements of the optical properties of Ge1-ySny alloys. The complex pseudodielectric functions of pseudomorphic Ge1-ySny alloys grown on Ge by MBE were measured using spectroscopic ellipsometry at 300 K in the 0.76-6.6 eV energy range for Sn contents up to 11%. Dielectric functions of Ge1-ySny alloys were obtained to investigate the compositional dependence of the E1 and E1+ Δ1 critical point (CP) energies. CP energies and related parameters were obtained by analyzing the second-derivative of the dielectric function. Our experimental results are in good agreement with the theoretically predicted E1 and E1+ Δ1 CP energies of compressively strained Ge1-ySny on Ge based on deformation potential theory. We will discuss the compositional and strain dependence of the direct and indirect band gaps as well as E1 and E1+ Δ1 CP energies and related parameters of Ge1-ySny alloys. We will present the nature of the band gap of pseudomorphic Ge1-ySny on Ge and will discuss the effects of strain which critically depend on the bowing parameter of the lattice constant.

Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2015 Schedule