AVS2013 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, October 29, 2013 6:00 PM in Room Hall B

Tuesday Evening

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule

PS-TuP-1 Dry Deep Etching of GaN Wide Band-gap Semiconductor
Nicolas Gosset (GREMI CNRS/Université d'Orléans, France); Julien Ladroue (STMicroelectronics Tours SAS, France); Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans, France); Mohamed Boufnichel (STMicroelectronics Tours SAS, France); Rémi Dussart (GREMI CNRS/Université d'Orléans, France)
Gallium nitride (GaN) is a III-V semiconductor with a large and direct band-gap (3,4 eV). Furthermore, GaN has a high electron mobility and strong chemicals bonds. These physical properties make GaN very interesting and open new prospects for microelectronics power devices. Indeed, GaN-based devices, compared to silicon devices, can operate under high temperature, high power and high frequency. For GaN-based power devices, an etched depth as high as 6 to 10 μm is typically required. This is considered as deep etching compared to the etch depth necessary for light emitter devices (a few hundred nanometers). It was shown that wet etching of GaN c-plan (plan where etching is generally needed) is limited due to its chemical inertness. Therefore GaN deep etching is achieved by plasma etching. Chlorine-based chemistries are commonly used because GaCl3 is the most volatile Ga etching product. We studied GaN etching (7 μm thick epilayer grown on Si) in Cl2/Ar plasma using two industrial Inductively Coupled Plasma (ICP) reactors (Corial 200IL and Alcatel 601 E) and by Ion Beam Etching (IBE) (Plassys MU450). After etching, three regimes of defects were observed: columns, pits and “White GaN”. It was shown that both columns and pits are linked to nanopipes and dislocations created during epitaxial growth of GaN. In addition, oxygen based species, coming from either the SiO2 coverplate or the alumina/quartz tube, play an important role in the columnar regime. They preferentially oxidize dislocations, leading to the observed columns. “White GaN” is a very high roughness coming from surface over-oxidation. For industrial applications, all these defects and roughness must be limited. Plasma investigations, using Langmuir probe, mass spectrometry and optical emission spectroscopy, revealed that SiCl4 can scavenge oxygen. This subsequently results in elimination of defects. Consequently, using Si coverplate or injection of SiCl4 leads to defect free surfaces. An optimized IBE process appears to be also a way to reduce defects. The addition of other gases (like BCl3, CHF3 and SF6) will be also investigated to evaluate the impact on both GaN etch rate and selectivity in Cl2/Ar chemistry. XPS and AFM surface analysis will be performed to better understand the formation mechanism of defects. Finally, regardless of defects, etch rate as high as 1 μm.min−1 and a selectivity of 6 can be obtained.
PS-TuP-3 Low Plasma-Induced Gate Damage of Metal Nanocrystal Memory by Neutral Beam Etching
SeungHyun Kang, KyungSeok Min, JongKyu Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
A conventional poly-Si floating gate faces a problem for the application to next generation devices because the thinner tunneling oxide for fast program and erase degenerates the charge retention. Therefore, metal nanocrystal floating gate memory (NFGM) device has been proposed as one of next generation memory devcices. For NFGMs, among various nanocrystals, Ru has a potential for the NFGM application because of the formation of a high spatial density (>1012 cm-2) with a small size (<2.5 nm) and high charge retention. For the patterning of the NFGM, plasma etching is generally used for maintaining an accurate CD.However, the plasma etching of the NFGM gate structure was found out to degrade the electric characteristics of NFGM by plasma induced damages (PIDs) of gate structure. In this article, a neutral beam etching (NBE) was applied to the etching of the NFGM gate structures and its etch characteristics were compared with those etched with conventional plasma etching technique and ion beam etching (IBE). The results showed that the gate structure of NFGM etched using the NBE showed a lower surface roughness and the gate profile was more anisotropic, which may be originated from the less PIDs, for an accurate CD compared to conventional plasma etching and IBE.
PS-TuP-6 Damaged Silicon Contact Layer Removal with Atomic Layer Etching for Deep-Nanoscale Semiconductor Devices
JongKyukim Kim, SungIl Cho, SungHo Lee (Samsung Electronics, Republic of Korea); KyungSeok Min, SeungHyun Kang, ChanKyu Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
The physical damage of the silicon lattice atoms caused by the high energy ion bombardment disturbs electron transport and increases the contact surface resistance. Also, the contamination and implantation caused by the etchant species such as fluorine and carbon in the contact silicon surface prevents the reaction with Ti or Co deposited on silicon contact surface as conducting metals for a low contact resistance. A damaged layer remains on a silicon substrate after high-aspect-ratio contact (HARC) etching using a fluorocarbon gas. Silicon atomic layer etching technique (ALET) has been applied to remove the damaged layer. This technique which removes Si layer about 1.36 Å per etch cycle without damage of substrate. Cl2 was applied to remove the damaged layer on the silicon surface in 30nm contact pattern formed during HARC etching by high energy reactive ions. And its effects on the damage removal characteristics have been investigated. Compared to a conventional damage removal method such as a low power CF4 plasma treatment technique, the ALET showed less secondary damage to the substrate, exact etch depth control, and extremely high etch selectivity to contact SiO2 insulating pattern mold. When this ALET was applied to 30nm HARC etching after the conventional damage removal technique, the sheet resistance of the damaged contact silicon surface was improved close to that of clean silicon surface while maintaining exact depth control with atomic scale and without changing the 30nm pattern mold profile.
PS-TuP-8 Fluorocarbon Films Deposited by c-C4F8/N2/Ar Plasmas: The Effect of N2-addition on Gas Phase Kinetics and Surface Chemistry
Peng-Kai Kao (National Taiwan University, Taiwan, Republic of China); Po-Jen Kuo, Peng-Wen Chiou, Chia-Chen Chou (Tokyo Electron Taiwan Limited, Taiwan, Republic of China); Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

Fluorocarbon films deposited using c-C4F8/N2/Ar capacitively coupled plasmas are studied with the goal of understanding the effects of N2-addition on surface chemistry. The plasma system used is a parallel-plate discharge sustained by a 13.56 MHz power source with the electrode diameter 25.4 cm and a gap distance 6 cm . Quartz crystal microbalance (QCM), Fourier transform infrared spectroscopy (FTIR), x-ray photoelectron spectroscopy (XPS), and contact angle measurement are used to characterize the film properties. QCM measurements show a monotonically increase in the deposition rate with N2 addition, suggesting that CNxFy deposition rate surpassed the rate of forming volatile species. FTIR spectra show an additional adsorption near 1350 cm-1 for conditions with N2 addition. This can be caused by either the incorporation of nitrogen into the polymer chain or by creation of additional disordered sp2 carbon in the film. The XPS C1s spectrum of the film shows four major peaks, assigned to CFx (x= 1, 2, 3) and C-CFbonds. With N2 admixture, CFN bonds appear in the C1s spectrum, while the amount of CF3 and CF2 bonds only decrease slightly. In support of the XPS data, the water contact angle on FC films remains 100~110°and does not change noticeably with N2-addition. At the end of the presentation, the process significance in N2 addition into c-C4F8/Ar/N2 plasmas will be discussed.

PS-TuP-10 Etch Characteristics of Magnetic Tunnel Junction Materials using in the Pulse Biased Inductively Coupled Plasma
KyungChae Yang, MinHwan Jeon, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
Magnetic random access memory (MRAM) has made a prominent progress in memory performance and, brought a bright prospect for the next generation nonvolatile memory. Because MRAM has faster access time, higher storage densities, lower operating voltage and infinite rewrite as compared to conventional RAM devices. Recently, spin transfer torque (STT)-MRAM has strongly been investigated due to the possibility to overcome the scaling limit of MRAM device. However, the etching of MTJ related materials (CoFeB, MgO and W) has some drawbacks because it is difficult to form the volatile compounds between magnetic materials and etch gases in the traditional etching system. In this study, to overcome the issue of low volatility, we applied the pulsed-bias rf power to increase the volatility of etch residue during pulse-off time while the source power is continuously applied in the ICP system. The results showed that the use of pulse-bias improved etch selectivity of MTJ materials over W(or Ti) and also improved the etch profile of MTJ features. The sidewall redeposition was also improved by the pulse-biasing. The etch mechanism on the etching of MTJ materials by the pulse-biasing will be presented.
PS-TuP-11 Etching Characteristics of AlGaN and GaN in Inductively Coupled Cl2 Plasma
Jia-Dong Cao, Yi Lu, Ryosuke Kometani, Jong-Yun Park, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori (Nagoya University, Japan)

Gallium nitride and related alloys have been expanding their applications for next-generation optoelectronics such as HEMTs or white LEDs. Plasma etching processes are necessary especially for ternary compounds such as AlGaN and InGaN. To control precisely profiles at nano-scale and to reduce plasma-induced damages, which influencing the device performance,1-3 the mechanism of reaction of ions and radicals in plasma with surfaces should be clarified. In this research, we investigated the etching mechanism of AlGaN in chlorine plasma with focusing on surface stoichiometric composition.

Samples were Al0.32GaN, Al0.47GaN and GaN epitaxial films grown on Si substrate. Photolithographic patterning of UV photoresist was conducted. After chemical cleaning, an inductive coupled plasma etcher was used; the typical condition is antenna power of 200 W, bias RF power of 0 - 120 W, Cl2/Ar mixture gas flow rate of 30 sccm at 1 Pa. The samples were chucked electrostatically on the stage kept at room temperature. X-ray photoelectron spectroscopy (XPS) was used for stoichiometric composition analysis.

When changing the ratio of Cl2/(Cl2+Ar) from 0 to 100%, the etch rate of GaN and AlGaN was increasing with the Cl2 ratio. The etch rate in all cases saturated as increased the Cl2 flow ratio above 40%. Order of the etch rate among the films were Al0.47GaN < Al0.32GaN < GaN, which could be explained by their sputtering threshold energies for Al-N of 11.52 eV and Ga-N of 8.92 eV.4 Since the etching products such as AlClx have high volatility compared with GaCly, a layer consisting of low Al-to-Ga ratio was possibly formed on the AlGaN surface. We will discuss the effects of plasma parameters on both etching profiles and surface stoichiometry in order to elucidate comprehensively understanding of the etching mechanism.

This work was supported by the Knowledge Cluster Initiative (Second Stage), MEXT, Japan. We would like to thank Taiyo Nippon Sanso Corp. for sample preparations.

1. S. Chen, et al., AIP adv. 2, 022149 (2012).

2. S. Chen, et al., J. Appl. Phys. 112, 053513 (2012).

3. S. Chen, et al., Jpn. J. Appl. Phys. 51, 111002 (2012).

4. J. Ladroue, et al., J. Vac. Sci. Technol. A 28, 5 (2010).

PS-TuP-12 Selection of Materials and Surface Finishes for Reduced Particle Formation Upon Ion Beam Bombardment in EUV Mask Blank Production Devices
Amanda Lietz, Davide Curreli (University of Illinois at Urbana Champaign); Alan Hayes, Adrian Devashayam (Veeco); David Ruzic (University of Illinois at Urbana Champaign)

Extreme UltraViolet Lithography (EUVL) requires reflective mask blanks, manufactured by ion beam sputtering a multilayer stack of thin films, primarily Mo and Si, onto a mask substrate. At least 40 bilayers of Mo and Si are necessary to produce a surface which has sufficient EUV light reflectivity for use in high volume manufacturing exposure tools. When contaminant particles deposit between these layers, the EUV light is absorbed or scatters irregularly, rendering the mask blank unusable. One possible source of such particles is bombardment of shields in the deposition chamber by energetic particles scattered from the ion beam and target and “overspill” of the tails of the ion beam off the edge of the target under oblique target angle of incidence. Shields are used to cover targets that are not in use and prevent deposition or sputtering nearby surfaces and equipment. These shields must be able to accept many successive layers of deposition without flaking and forming particles of deposited material. They must also be able to withstand ion beam overspill bombardment, while forming a minimal amount of particles.

In order to evaluate improved shield materials and surface finishes, shield samples were placed under a broad angle ion beam and particles were collected on a witness plate. Shields of various materials and surface finishes were compared to determine the lowest level of particle formation. The total number of particles on the witness plates was quantified using laser scattering particle detection. Particle sizes and shapes were quantified with high resolution SEM imaging of the witness plate, and their composition was determined using backscattered electron imaging. The shield samples themselves were also analyzed using SEM to check for qualitative features, such as plateau formation, that may indicate the mechanism of particle formation.

PS-TuP-13 The Influence of Plasma and Vacuum Ultraviolet Radiation on the Time-Dependent Dielectric Breakdown of Porous Low-k Dielectric Films
Dongfei Pei, Michael Nichols, Harsh Sinha (University of Wisconsin-Madison); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

Time-dependent dielectric breakdown (TDDB) is a major concern for low-k dielectrics. The TDDB properties of porous organosilicate glass (OSG) and photopatternable low-k dielectric (PPLK) films after plasma and/or VUV exposure are evaluated using contstant voltage time-to-breakdown measurements. To examine the effect of plasma exposure on TDDB degradation, dielectric films were exposed to Ar plasma in an ECR reactor. To separate the effect of vacuum ultraviolet (VUV) radiation on TDDB from charged particle bombardment, synchrotron radiation was used. This also has the advantage of being able to vary the wavelength of the radiation continuously. Dielectric films were exposed under different photon energies with the same fluence. After plasma or VUV exposures constant-voltage time-to-breakdown measurements were made for each sample. Weibull statistics were used. Both the results from PPLK and OSG showed that when the samples were exposed to plasma, significant degradation in breakdown time was observed. The VUV radiation under different photon energies shows less effect on the TDDB of both PPLK and OSG for the same fluence as the plasma. Charge-to-breakdown measurements also show similar results.

This work has been supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.

PS-TuP-14 Noninvasive, Real-Time Measurements of Plasma Parameters via Optical Emission Spectroscopy
Shicong Wang, John Boffard, Chun Lin, Amy Wendt (University of Wisconsin-Madison); Svetlana Radovanov, Harold Persing (Varian Semiconductor Equipment, Silicon Systems Group, Applied Materials Inc.)

Plasma process control applications require acquisition of diagnostic data at a rate faster than the characteristic time scale of perturbations to the plasma. Diagnostics based on optical emission spectroscopy (OES) of intense emission lines permit rapid noninvasive measurements with low-resolution (~1nm), fiber-coupled spectrographs, which are included on many plasma process tools for semiconductor processing. The use of OES is an established practice to determine when a process is completed, i.e., the process “endpoint,” by detecting changes in intensity in optical emissions of key gas-phase chemical species, and OES can also be used to detect the presence of impurities and monitor changing reactor wall conditions. More detailed real-time information about the plasma state is increasingly desirable for process monitoring, however, due to progression in the semiconductor industry toward plasma processes with both tighter tolerances and multiple steps, i.e., where operating parameters are varied over the course of the process. In this work,* we examine the utility of plasma optical emissions from argon measured with a low-resolution spectrograph (Verity 1024 SH) as a real-time monitor of plasma parameters during the course of a plasma process, based on a rapid method to monitor and analyze the intensities of a select group of Ar emission lines to dynamically determine the following plasma parameters. Electron temperature and density are relevant parameters for characterization of the dynamic behavior of processing plasmas, because gas phase reactions are driven by collisions involving energetic plasma electrons. Metastable and resonance level concentrations are also relevant as these species play significant roles in plasma processing, through energy released when they de-excite upon reaching substrate surfaces, and through the emission of VUV photons which enhance surface reactions. These parameters are unambiguous indicators of the instantaneous plasma state and as such may play a valuable role as monitors for closed- loop process control. Results will be presented for argon and argon/mixed-gas (Ar/N2, Ar/O2, Ar/H2) inductively coupled plasmas. Accuracy of the results (which are compared to measurements under static conditions made by Langmuir probe and white-light absorption spectroscopy) are typically better than ±15%. The system time resolution is ~0.1 s, which is more than sufficient to capture the transient behavior of many processes, limited only by the time response of the spectrograph used.

Support by NSF grant PHY-1068670 and Applied Materials Inc. is gratefully acknowledged.

* J. Vac. Sci. Technol. A 31(2), 021303 (2013).

PS-TuP-15 Study on the Coupling Intensity between Discharge Circuit and Magnetic Circuit in Hall Thrusters
Ziyi Yang, Liqiu Wei, Daren Yu (Harbin Institute of Technology, China)

Coupling oscillation is a newly discovered plasma oscillation mode due to the coupling between discharge circuit and magnetic circuit[1],[2],[3]. As we know, Hall thrusters always depend on the coils to produce the indispensable magnetic field. Line and line, layer and layer between grading coils exist stray capacitance. The inevitable stray capacitance, the coils and the equivalent resistances of magnetic circuit consists of a high level resistance-inductance-capacitance resonance network with natural frequency. With the excitation of the time-varying Hall current, the time-varying induced electromotive force is induced in the magnetic coils, which leads to the oscillation of the coil current. The resonance of the resistance-inductance-capacitance network gives rise to the oscillation of the coil current with a large oscillating component at the natural frequency. This oscillation affects the discharge oscillation. As a result, a self-cosistent equilibrium state is formed and the discharge current has the same oscillation frequency. Roughly speaking, oscillation frequency spectrum ranges from several kilohertzs to megahertzs. A parameter - coupling coefficient was applied to represent the intensity of coupling between the magnetic and discharge circuit[4] . According to the previous studies, the coupling coefficient is related to the materials and the cross-sectional area of the magnetic coils and the magnetic circuit of the Hall thruster. However, in our recent study on coupling oscillation, it was found that hall current equivalent position has important effects on coupling intensity between discharge circuit and magnetic circuit. This cause the different of coupling coefficient on different operation condition of Hall thrusters. Through non-intrusive measuring methods of hall current equivalent radius and axial position, it indicates that with the increase of discharge voltage and magnetic field intensity the hall current equivalent radius increases and its axial position move to the exit plane. Thus, the coupling coefficient grows larger and the coupling intensity between discharge circuit and magnetic circuit increases. Therefore, the suppressing methods of this instability should be involved in the future.

[1] L.Q.Wei, C.S.Wang,et al Contrib. Plasma Phys. 52, 761(2012)

[2] D.R. Yu, L.Q. Wei et al., Plasma Sources Sci. Technol. 16, 757 (2007).

[3] H. Pfister, K. Gaff et al., “50th Annual Meeting of the Division of Plasma Physics” 53 (2008).

[4] L.Q. Wei, B.H. Jiang et al., Plasma Sources Sci. Technol. 18, 045020 (2009).

PS-TuP-16 80 MHz Capacitively Coupled SiH4/H2 Discharge for m-Si Thin Film Depostion - 2 Dimensional Fluid Model Simulation
Hong-Bin Lin, Song-En Lien, Cheng-Hung Hsieh, Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China); Cheng-Chang Hsieh, ChiFong Ai (Institute of Nuclear Energy Research, Taiwan, Republic of China)

Capacitively coupled SiH4/H2 plasmas (CCP) have been widely employed for depostion of Si film for applications such as thin film transistors and thin film solar cells. For Si thin film solar cells, requirements for yielding higher conversion efficiency at a lower cost calls for a high rate and high uniformity plasma porcess for deposition of microcrystalline silicon (m-Si) film. A better understanding of the plasma discharge is needed. In this study, a 80 MHz SiH4/H2 CCP discharge has been investigated by numerical simulation based on fluid model, with 27 species and 47 reactions. Simulation results show that plasma density, as well as the number densities and fluxes of H, SiH2, and SiH3, important reactive species for the growth of m-Si film, as well as the ratio of H/SiH3 flux, a key indicator for crystal fraction of m-Si film, increase with rf power, as expected. Compared with the case in 27.12 MHz, the results also show that plasma density for the 80 MHz discharge are higher, due to frequency effect in the dominate electron heating mechanism, and, consequently, the densities of the key radical species also drop. More importantly, the ratio of of H/SiH3 flux is also higher for the 80 MHz cases. Further more, the plasma potential, which determines the energy of ions incident on the grounded substrate electrode, decreases as operation frequency decreases. Consequently, a higher crystal fraction can be obtained by increasing the frequency of the rf power of plasma reactors, due to less damage on films causes energy ions bombardment. Simulations have also been carried out for different gap spacing between two electrodes and calculation results show that plasma density increases as the gap spacing decreases, as a result of lower electric field strength.

*Work supported by the National Science Council of ROC.

PS-TuP-17 Numerical Investigation of Electron Heating in a Neutral-Loop Discharge Plasma
Sang-heum Kim (University of Wisconsin-Madison); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

Neutral Loop Discharge (NLD) plasmas are being investigated as a new plasma source to produce good directionality and high fluxes of ion bombardment at substrate surface1) for semiconductor device fabrication. In an NLD plasma reactor, a Neutral Loop (NL) can be produced (zero-field path) that is generated by cusp-shaped magnetic field from direct current magnets. It has been shown theoretically that magnetic reconnection occurs in the neutral loop region when radio-frequency fields are applied2). During the reconnection process, the DC magnetic field and the r.f. magnetic field cause disconnection and reconnection of the magnetic-field lines over an r.f. period. The reconnection results in the potential to heat electrons stochastically on the NL. Changing the position and the diameter of the NL can modify processing uniformity over a large area and thus improves plasma processing without need to move or rotate the workpiece. However, to confirm this, the details of plasma parameters as a function of position of the NL are produced by numerical simulations of electron motions near the NL in the presence of an r.f. The relationship between the r.f. magnetic field and its corresponding electric field and the average electron energy is also investigated.

This Work was supported by the Semiconductor Research Corporation under Contact No. 2012-KJ-2359 and the National Science Foundation under Grant CBET-1066231.

[1] T. Uchida and S. Hamaguchi., J. Phys. D: Appl. Phys. 41 (2008) 083001

[2] Z. Yoshida et al., Phys. Rev. Lett. 81 (1998) 2458.

PS-TuP-18 Hydrocarbon Conversion by Non-Equilibrium, Atmospheric-Pressure Microplasma
Jonathan Cole, Mohan Sankaran (Case Western Reserve University)

Hydrocarbon conversion plays an important role in our energy economy. For example, the conversion of natural resources such as methane into hydrogen, carbon monoxide, ethanol, and other chemical fuels is essential to current and future energy needs. Typically, hydrocarbon conversion (specifically, methane reforming) is carried out by high-temperature (>500 °C), catalytic processes. To lower the temperature requirements and improve the conversion and selectivity, non-equilibrium plasmas have been explored for the conversion of hydrocarbon feedstocks1. However, the power requirements and stability at high pressures have been obstacles to achieving significant improvement. In this study, we explore the application of a novel class of atmospheric-pressure plasmas, microplasmas2, for the conversion of hydrocarbon gases including CH4 and CO2. As carbon dioxide emissions increase globally, reactions consuming CO2 may become a necessity. The reaction of CO2 with CH4, known as dry methane reforming, is endothermic and normally requires high temperature and pressure and a catalyst; however, a non-equilibrium microplasma could potentially carry it out at room conditions. Additionally, when coupled with a catalyst, plasmas in general have been shown to have a synergistic effect3 that improves conversion beyond that of just the plasma alone or catalyst alone.

In this study, CO2 and CH4 were introduced into a microplasma in varying feed ratios and flow rates. The effluent was characterized by simultaneous gas chromatography and mass spectrometry to identify and quantify products. Reactive intermediate species were monitored by optical emission spectroscopy and soot formation was detected by aerosol ion mobility measurements. Results for CO2 and CH4 conversion as well as selectivity to specific products such as CO, H2, and higher order hydrocarbons will be presented, as well as the formation of soot.

References

[1] Fridman A, Gutsol A, and Rabinovich A. "Combustion-assisted Plasma in Fuel Conversion." J. Phys. D: Appl. Phys. 44 (2011).

[2] Mariotti D, and Sankaran R M. "Microplasmas for Nanomaterials Synthesis." J. Phys. D: Appl. Phys. 43 (2010).

[3] Chang M B, et al. "Review of Plasma Catalysis on Hydrocarbon Reforming for Hydrogen Production—Interaction, Integration, and Prospects." Applied Catalysis B: Environmental 85 (2008).

PS-TuP-19 Multi-Peaked and Stepped Electron Velocity Distributions in RF-DC Discharge with Secondary Emission
Alex Khrabrov, Igor Kaganovich (Princeton Plasma Physics Laboratory); Peter Ventzek, Lee Chen (Tokyo Electron America)
Hybrid RF-DC capacitively coupled discharges find important and growing applications in industrial processes. In such discharges, secondary electrons emitted from the electrodes undergo a complicated motion defined by acceleration in, and bouncing between a steady and an oscillating sheath. For the secondary electrons that return to, and impinge upon the RF electrode, the arrival energy is a non-monotonic function of the driving voltage phase at which they were emitted. This basic property leads to a velocity distribution with multiple peaks [1,2]. This effect may explain the multiple peaks in the electron energy distribution function measured in RF-DC system at RF electrode [2,3]. The energy dependence upon the phase of arrival can also be discontinuous (as the number of bounces between the sheaths changes by plus or minus one), which corresponds to a distribution containing steps. Further, the velocity distribution of secondary electrons is sensitive to variations in the bouncing time and may form additional peaks if a small high-frequency ripple is present in the RF sheath voltage [2]. We have found such features in numerical test-particle simulations of the discharge, and analyzed the observed structure of the electron distributions. [1] D. Israel, K.-U. Riemann, and L.D. Tsendin, J. Appl. Phys. 99, 093303 (2006). [2] K.E. Orlov and A.S. Smirnov, Plasma Sources Sci. Technol. 10, 541(2001). [3] L. Xu, L. Chen, M. Funk, A. Ranjan, M. Hummel, R. Bravenec, R. Sundararajan, D. J. Economou, and V. M. Donnelly, Appl. Phys. Lett. 93, 261502 (2008).
PS-TuP-20 Formation of Multi-Peak Electron Velocity Distribution Function by Two-Stream Instability in a dc Discharge
Dmytro Sydorenko (University of Alberta, Canada); Igor Kaganovich, Alex Khrabrov (Princeton Plasma Physics Laboratory); Lee Chen, Peter Ventzek (Tokyo Electron America)
Interaction of an electron beam with a plasma is of particular importance for hybrid dc-rf coupled plasma sources used in plasma processing. Electron acceleration by high-frequency waves may explain the low-energy peak in the electron energy distribution function measured in plasma processing devices [1]. In the present paper, the collisionless electron heating in a dc plasma source is studied using the particle-in-cell code EDIPIC [2,3]. In simulations, electrons emitted from the cathode surface are accelerated through a dc bias electric field and form an 800 eV electron beam entering the bulk plasma. The beam excites electron plasma waves via the two-stream instability. In the region of strong plasma oscillations bulk electrons can be accelerated to substantial energies. These energetic electrons are correlated with the peaks in the eedf described in [1]. In this presentation we discuss factors impacting peak energy and magnitude such as plasma profile. [1] L. Chen and M. Funk, Langmuir wave standing wave resonance in DC/RF plasma, Proceedings of ICRP 2010. [2] D. Sydorenko, A. Smolyakov, I. Kaganovich, and Y. Raitses, Phys. Plasmas, 14, 013508 (2007). [3] D. Sydorenko, I. Kaganovich, Y. Raitses, and A. Smolyakov, Phys. Rev. Lett., 103, 145004 (2009).
PS-TuP-21 Electron Molecule Collisions with Methane
Will Brigg (University College London, UK); Adam Williams, Sergio Lopez-Lopez, Derek Monahan (Quantemol Ltd., UK); Jonathan Tennyson (University College London, UK); Anna Dzarasova (Quantemol Ltd., UK)

Methane is of particular interest due to its use in diamond deposition processes, and presence in fusion and combustion plasmas. Methane is known to be a difficult molecule to simulate, combined with the difficulty of obtaining electronic excitation and dissociation for both theorists and experimentalists alike[1], this presents a relative lack of data for this important molecule.

Quantum-mechanical calculations can be the answer. The calculations presented use the R-Matrix method: where the configuration space is divided into two regions. There is an inner region, where the physics is complicated by exchange and correlation effects, and an outer region, where greatly simplified equations can be solved. The programs used to carry out these calculations are provided by the UKRmol suite. See Tennyson [2][3] for a detailed review of electron-molecule collisions using the R-matrix method. Quantemol-N provides an expert system for running these codes as well as adding extra functionality tailored to provide data for plasma models.

Using Quantemol-N, several different cross sections and properties were calculated for methane, including electron impact elastic, excitation, rotational excitation, differential, momentum transfer, ionisation, and dissociative attachment cross sections. This data can be coverted in the reaction rates and used for modeling of plasma processes.

[1]: M. Ziolkowski et al., J. Chem. Phys., 137, 22A510 (2012)

[2]: J. Tennyson, Phys. Rep. 491, 2-3 (2010)

[3]: J. Tennyson et al, J. Phys. Conf. Series, 86, 012001 (2007)

PS-TuP-22 A Comparative Study of New Algorithm for Fluid Simulation of High Density Plasma Discharges
Seon-Geun Oh, Young-Jun Lee, Jae-Hong Jeon, Jong-Hyun Seo, HeeHwan Choe (Korea Aerospace University, Republic of Korea)
The inductively coupled plasma or the capacitively coupled plasma reactors are typical ones in the material processes for the electronic device fabrications such as semiconductor, TFT-LCD, solar cell. Fluid simulation is one of the simulation method in transport modeling of these discharges, because the profiles of plasma quantities such as densities, temperatures, fluxes and potentials are easily obtained. It is known that there is a restriction on the simulation time step, because the shielding time scale of an electric field perturbation is very short. To overcome this limitation, semi-implicit methods are suggested for the solution of Poisson’s equations. In this work, from the physical origin of restriction on simulation time step, a new method for larger time step in the steady-state fluid simulation of high density plasma discharge is suggested, and is explained. To study the differences and similarities, a simple comparison of the new method with previously known one is given.
PS-TuP-23 Properties of a Magnetic Neutral-Loop Discharge
Weiyi Li, Sang-heum Kim, Konstantinos Mavrakakis, Zheng Ling, H-Z Zhang, Jesse Bray, Timothy Griffin, Michael Nichols (University of Wisconsin-Madison); B-H. Moon, YoulMoon Sung (Kyungsung University, Korea); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

The magnetic neutral-loop discharge (NLD) was developed in 1994.[1] In this work we designed an NLD reactor using a stainless-steel chamber, instead of the commonly used quartz chambers in previous work because of the need for such a system in microelectronic processing. The vacuum chamber lies in the middle of three sets of magnet coils. With DC currents flowing in the opposite direction in the middle set of coils, a circle on which magnetic field is zero, i.e. a neutral loop(NL), can be produced in the middle of the chamber. In order to generate plasma, 13.56 MHz RF is inductively coupled into the chamber with a spiral antenna, through a quartz window located on one end of the chamber. The reactor can be operated in two modes, (1) an NLD mode when there are oppositly directed DC currents in the magnet coils, or (2) an ICP mode when there are either no DC currents or same direction DC currents in the magnet coils. In the NLD mode, the plasma was observed to be brighter near the location of the NL than in the center. This difference was further confirmed with measurement of the optical spectrum using an OceanOptics spectrometer, which shows the relative plasma glow brightness at the NL is as twice high as from the center of the chamber, and about 10% higher than the ICP plasma mode.

By adjusting the ratio of the DC currents running in the magnet coils, the radius of the NL can be changed. Both experiment and simulation show that the glow follows the change of the NL radius, especially at low pressure measured with a monochrometer and photomultiplier and compared with that observed from the ICP mode as well as other reactors. Although the location of the argon peaks are the same, the relative heights of the peaks and their widths are strong functions of the operating pressure and r.f. power for both modes.

1. H Tsuboi, M. Itoh, M. Tanabe, T. Hayasi and T. Uchida, Jpn. J. Appl. Phys 34 2476 (1995)

PS-TuP-24 Size Effect of Hf Liquidous Nano-particles on PEPVD Growth of HfSiON on SiO2/ Si
Tomoya Haga, Takeshi Kitajima, Toshiki Nakano (National Defense Academy of Japan)

In the paper, we show the size effect of metal particles on PEPVD of high-k dielectric thin film for MOSFETs.

In the case of a fewer deposition of Hf, high density hafnium metal nano particles with 2-6 nm diameter are formed on SiO2/Si surface and subsequent nitrogen plasma exposure (~10 min.) leads to the growth of HfSiON high-k dielectric film with a SiN interface layer. The exposure of atomic nitrogen and ions from the non-equiliblium plasma enables the introduction of N into the film and increases the interfacial reaction rate of Hf and SiO. Within the first 1 min., the Hf nano particles are oxynitrided with the N atoms from the plasma and the O atoms supplied from the lower interface judging from the XPS analysis. The nitridation rate is quite high and the quantitative measure shows the sticking of the N atom to the Hf nano particles reaches 1.0, while it is an order lower for thicker Hf layers more than 50 nm . A contact AFM survey of the Hf nano particles indicates the nano particles are liquidous due to Melting-point depresssion [2]. The following plasma exposure (~ 5 min.) enables the diffusion of Si atoms into the higk -k film from the underlying SiO layer. Following N2 ICP exposure continuously increases the N atom fraction in the film which is relatively slow compared to the initial stage. The XPS spectrum shows the sea incorporated is mostly nitrides in the film. The spectrum also indicates the interfacial SiO layer is nitrided and this leads to the minimized EOT of the high-k stack structure.

[1] H. Watanabe, Appl. Phys. Lett . 85, 449 (2004).

[2] M . J . Stowell, Proc . Roy . Soc . Lond . A., 318, 231 (1970).

PS-TuP-26 High Etching Rate of Lithium Niobate Substrate using BCl3/Ar Mixture Gases by ICP-RIE
Chun-Ming Chang, Po-Li Chen, James Su, Ming-Hua Shiao, Chien-Nan Hsiao (National Applied Research Laboratories, Taiwan, Republic of China)
In this study, Z-cut LiNbO3 single crystal wafers were etched by the inductively coupled plasma reactive ion etching (ICP-RIE) technique by using the boron trichloride (BCl3)/ Argon (Ar) mixture gases. Effects of the ICP power and RF power ranged from 100W to 400W of the ICP-RIE system were studied on the etching rate, surface roughness, and corresponding DC bias under two working pressures of 30 mTorr and 50 mTorr, respectively. Besides, photoresist and metallic nickel thin film were used as the etching mask, and the selective ratios of the two etching masks were also compared. From the experimental results, it can be found that the DC bias (-V) decreases with the working pressure, and increases with the ICP power and the RF power. The surface roughness of the etched LiNbO3 substrate was decreased from 55 nm to 30 nm with increasing working pressure, but it increased when the ICP power and RF power were increased. The etching rate of the LiNbO3 substrate was increased with increasing the ICP power and RF power under the two working pressure. It is noted that the etching rate was greater than 100 nm/ min when the working pressure was controlled at 30 mTorr. The selective ratios of the photoresist and the nickel were calculated to be approximately 0.4 and 8, respectively. Under suitable processing parameters of ICP-RIE, the surface roughness less than 40 nm, structure depth greater than 2 μm, and sidewall angle greater than 70°°of the LiNbO3 substrate can be obtained within 20 min, which etching rate is greater than 80 nm/ min.
PS-TuP-27 Direct Liquid Injection into Low-Pressure Plasmas
Daisuke Ogawa (Chubu University, Japan); Matthew Goeckner, Lawrence Overzet (The University of Texas at Dallas)

What if a liquid is injected directly into low-pressure plasmas? The current material processing with low-pressure plasmas (< 100 Pa) requires the gas-phase precursors in many cases. The limitation is sometimes irritating. The technique we have proposed, the direct liquid injection into low-pressure plasmas, enables liquids for plasma processing without applying any heat. Also, this technique enables the injection of solids through a liquid. For example, one could control the number of nano-particles in a film that is produced with a plasma to change the mechanical property of the film. Or, one could leave the clusters of solids on a substrate by evaporating a liquid off to print a three-dimensional structure. It is also fine that one uses heat-sensitive materials such as proteins, or even bacterias because the temperature of plasma processing is generally low. This technique definitely expands the possibility of material processing with plasmas.

With our best knowledge, not so many people researched the combination of direct liquid injection and low-pressure plasmas. Coppins suggested these kinds of plasmas to call as misty plasmas[i] [#_edn1] because one can consider that the droplets are another material state of particles. In contrast to dusty plasmas, misty plasmas can regulate the increase of particle temperature due to the droplet evaporation. Ward patented the configuration to realize the plasma processing in 2005.[ii] [#_edn2] However, our experiences showed that the configuration should create unstable plasma so that the process should be difficult.

This presentation shows the some investigations of the technique from some theoretical calculations and from the experimental observations. Our calculations shows that the evaporation time of droplets becomes half even at a plasma with 1010 cm-3 and 2 eV due to the contribution of three-body recombination on the droplet surface. On the other hand, our experimental results are far from the computational results because of our technical difficulties. Our time-resolved measurements of plasma density and optical emission intensity showed that a plasma dimmed due to the massive vapor at the first several milliseconds. This means that the plasma gives almost no contribution to the droplets’ evaporation because the transport time of vapor is much shorter than that of droplets. This result indicates that the control of initial vapor plays a role to realize this technique. In the poster, we will summarize these results shown above with recent progresses.

[i] [#_ednref] M. Coppins, Phys. Rev. Let. 104, 065003 (2010).

[ii] [#_ednref] Ward, US Patent No. 2005/0227018 A1.

PS-TuP-28 Role of Heterogenous Surface Reactions on the Evolution of O and N Atoms in N2/O2 Flowing Afterglows
Julien Pregent, Luc Stafford (Université de Montréal, Canada)
The number density of N and O atoms in the flowing afterglow of a reduced-pressure N2/O2 plasma sustained by propagating electromagnetic surface wave in the microwave regime was determined using a NO titration method. While the densiy of O atoms increased monotonously with increasing percentage of O2 in the N2/O2 gas mixture, the N population first increased with trace amounts of O2 and then decreased as the concentration of O2 increased above ~0.1 %. Introduction of either Teflon, aluminum, stainless steel, or copper surfaces in the afterglow chamber influenced both N and O populations. The more prominent decrease was observed for Cu; a result consistent with the high heterogeneous recombination coefficient of O and N atoms on such surfaces. For all materials, the O-to-N number density ratio increased sharply with the addition of O2, suggesting either a competition for surface recombination sites between N and O or the blocking of adsorption sites by physisorbed O2. This latter mechanism affects more N than O recombination. A similar behavior was deduced from the analysis of the NO-B and N2-B emission. Assuming that NO-B levels are populated by N+O+M->NO-B+M and N2-B levels by N+N+M->N2-B+M, where M is a third body, the NO-B/N2-B emission intensity ratio becomes proportional to the O/N number density ratio. Over the range of experimental conditions investigated, we found that the ratio increased with the injection of O2 in N2. A less prominent increase was observed in presence of wood samples placed in the afterglow chamber, which indicates that O heterogeneous recombination reactions are more strongly affected by reactor walls or substrate properties.
PS-TuP-29 Synthesis of Small Organic Molecules from a CO2/CH4 Mixture by Dielectric Barrier Discharge (DBD): Gas Composition and Power Effect
Alp Ozkan, Grégory Arnoult, Thomas Bieber, Philippe De Keyser, François Reniers (Université Libre de Bruxelles, Belgium)

Carbon dioxide is usually considered as an end-product in chemistry because of its high stability. Due to the high quantities of CO2 produced, its conversion received more and more attention in the recent years [1]. The transformation of CO2, with CH4 as second reactant and using atmospheric plasma technology shows that in good conditions, both gases can be converted into valuable products [2-3].

The conversion of CO2/CH4 mixtures was performed using a dielectric barrier discharge atmospheric plasma using Argon as the main plasmagen gas. Gas Chromatography was used to determine the composition of the gas after plasma treatment and this treatment was carried out in a new type of reactor developed in the laboratory. We demonstrated that the synthesis of syngas (CO and H2) and small organic molecules such as C2H6, C2H4 is totally possible in this type of discharge [Fig. 1].

The study is focused on the effect of the plasma parameters on the CO2 and CH4 conversion rate. The parameters which are evaluated in this work are the ratio of CO2/CH4 flow rates and the power supplied.

We demonstrated that the CO2/CH4 ratio in the mixture has an important impact on the conversion rate. However, there is no real interaction between active species of these two gases since oxygenated organic compounds have only been detected at trace amounts. Nevertheless, the absence of oxygen after CO2/CH4 plasma is always obtained, suggesting that atomic oxygen is consumed in a plasma which contains only a few quantity of CH4.

On the other hand, the effect of the power was clearly visible, showing a linear increase for both the CO2/CH4 conversion rates and the production of syngas according to the supplied power [Fig. 2]. This suggests that the number of electrons circulating between the electrodes has a huge impact on the conversion rate.

The detection of emitting species generated in the plasma (such as CO2+ and CO2 from the Fox, Duffendack and Barker’s system, different peaks of H, OH, O…) was also carried out via optical emission spectroscopy (OES).

Finally, we observed a different effect of two plasmagen gases (Argon and Helium) on the conversion of CO2 and CH4. Indeed, the conversion of CH4 is better when He is used as carrier gas compared to the use of Ar, whereas we observed an opposite effect for the conversion of CO2.

[1] T. Sakakura, J-C. Choi and H. Yasuda, Chem. Rev. 107 (2007) 2365−2387

[2] X. Tao, M. Bai, X. Li, H. Long, S. Shang, Y. Yin and Xiaoyan Dai, Progress in Energy and Combustion Science 37 (2011) 113-124

[3] A-J. Zhang, A-M. Zhu, J. Guo, Y. Xu and C. Shi, Chemical Engineering Journal 156 (2010) 601–606

PS-TuP-30 Mechanisms of Silicon Nitride (SiN) Etching by Hydrofluorocarbon (HFC) Plasmas
Keita Miyake, Tomoko Ito, Michiro Isobe, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa, Kazunori Nagahata, Tetsuya Tatsumi (Sony Corporation, Japan); Satoshi Hamaguchi (Osaka University, Japan)
Selective etching of silicon nitride (SiN) over silicon dioxide (SiO2) and/or silicon (Si) is widely used in the microelectronics industry. For example, the formation of dual stress liners or etching of dual/triple hard masks (DHM/THM) for dual-damascene structures requires highly selective SiN etching technology. It has been known that the use of plasmas based on hydrofluorocarbon (HFC) gases such as CHF3 and CH2F2 or hydrogen (H) /fluorocarbon (FC) gases can result in higher etching rates of SiN. The goal of this study is to clarify the etching mechanism of SiN and SiO2 by HFC/FC plasmas. For this purpose we have performed molecular dynamics (MD) simulations of SiN and SiO2 etching by HFC/ FC ions with improved interatomic potential functions. In the new interatomic potential functions, electronegativity of fluorine (F) bonded with carbon (C) is taken into account. It has been found that, in MD simulation, electronegativity of F strongly affects the etch rates of SiN by FC ions. Since F is highly electronegative and tends to attract electrons more strongly than C does, the CC bond of C-C-F or C=C-F is weaker than that of C-C-H or C=C-H and the C-F bond of C-C-F or C=C-F is stronger than that of CF4. The bond energy of Si-F is comparable with that of the C-F bond. Therefore, when C and F atoms are provided from the incident beam to a SiN surface, the formation of C-F and Si-F bonds takes place simultaneously and the balance between the C-F and Si-F formation rates determines the total sputtering yield. Since SiFx is a volatile species whereas CFx radicals can form a polymer, if more SiFx bonds are formed, etching proceeds more rapidly and, if more CFx radicals are formed, polymer deposition takes place. In this study, we evaluate the puttering yields of SiN by HFC/FC ions and examine the surface atomic compositions and desorbed products. The results are also compared with data obtained from ion beam experiments. Sputtering yields obtained from MD simulations with the new interatomic potential functions with more accurate electronegative effects of F are found to be in good agreement with those obtained from ion beam experiments.
PS-TuP-31 Novel TSV Etching Technologies using Spatial and Temporal Control Plasma
Yasuhiro Morikawa, Takahide Murayama, Toshiyuki Sakuishi, Koukou Suu (NMEMS and ULVAC, Inc., Japan)
The advantage of high pressure ICP process is lower self bias voltage than capacitive coupled plasma (CCP) to reduction of notching profile for via last process. And, the very high frequency CCP can cause a plasma uniformity issue due to the standing-wave effect. The plasma characteristics of inductive coupled plasma (ICP) source above 10Pa process operated with dual rf antenna coils with magnetic neutral loop discharge (NLD) plasma were investigated for thru silicon via (TSV) etching. Improved plasma characteristics such as higher plasma density and very uniform and high aspect ratio anisotropy TSV etching process were realized in 300mm wafer. Which plasma source is kind of planar type ICP or NLD. 13.56MHz or 2MHz of rf for dual antenna coils and low frequency rf bias can operate in independently. Mechanism of Si etching is mainly fluorine radical reaction. High density plasma is need to get high etch rate. On the other hand, management of radical diffusion from around rf antenna is important for very uniform process of high aspect ratio TSV as well. Center gas injection on the rf window is induced instead of side gas injection to avoid of the rf electric field effect. Therefore, when Si was etched using dual rf antenna coil with SF6 / O2 / SiF4 or SiCl4 mixture gases injection from center of rf window and outer side, the high etch rate and selectivity of Si over the photo-resist and very uniform process were observed. And, the combination of "spatial and temporal control of rf input power on dual rf antenna", and "gases inject apportionment each nozzles (center/outer)" can be controllable in large diameter substrate etching process. This method named “STEVIA” (Spatial and Temporal Control Plasma for Thru Silicon Via Etching).
PS-TuP-32 State-Space Mapping of Plasma Tools via Coarse Mesh Tool Simulation
Derek Monahan (Quantemol Ltd., UK); Jonathan Tennyson (University College London, UK)

The physical processes underlying low-pressure, low-temperature industrial plasma tools encompasses substantial ranges of space and time. This presents significant difficult for simulation. Large processing tools produce atomic scale features with process times often exceeding 1000's of seconds while applied frequencies are typically in the MHz or even GHz range. Tractable simulations, therefore, must typically make a number of rang limiting assumptions. An extreme simplification which is often employed when probing large parameters spaces is the “global-model” approximation, where volume-averaged chemistry balance equations are solved over the parameter space of interest. Though this may be regarded as an extreme approximation in view of the complexity of typical processing tool geometries, careful consideration of the transport and loss effects often yields surprising agreement with experiment. However, these models do have limited potential and cannot hope to account for spatially dependent phenomena, which may play a significant role in many industrial processes. Spatially resolved simulations, on the tool level, are much less commonly employed for state-space exploration and are often restricted to limited regions of parameter space, or significantly simplified chemistries. However, as the prevalence of multi-core desktop computers continues to grow rapidly, it is not unusual for anengineer to have easy access to a great many processing cores. Utilizing relatively coarse mesh tool simulations, in conjunction with such computer resources now makes spatially resolved state-space mapping tool simulations feasible for short term investigations. In this poster we will present the results of such a study with an SF6etching chemistry done using the new Quantemol - Virtual Tool (Q-VT) plasma simulation package.

PS-TuP-33 Development of ICP Etching Processes for Gallium Nitride HEMT
Shogo Uehara, Tomoyasu Nishimiya, Yutaka Kusuda, Michihiro Hiramoto, Shin-ichi Motoyama, Osamu Tsuji (SAMCO Inc., Japan)
Next-generation power devices fabricated from wide band-gap semiconductors, such as Gallium Nitride (GaN) or Silicon Carbide (SiC), have the advantages of less energy consumption and smaller device size compared to Silicon power devices, and therefore, are expected to see application in hybrid and electric vehicles, trains, wind or solar power generators, and smart grid technology. This paper reports our recent development of manufacturing processes for a GaN High-Electron-Mobility Transistor (HEMT).

A planar structure GaN-HEMT enables high-speed switching with a two-dimensional electron gas (2DEG), but it is a normally-on device while a normally-off device is preferable. To realize normally-off planar GaN-HEMTs, precise thickness control of the AlGaN layer at the gate is necessary. However, reproducible, stable thickness control of the AlGaN layer in the etching process is challenging when manufacturing normally-off GaN HEMTs. For this reason, we developed a slow etching process with low damage and high reproducibility, combined with in-situ thickness measurement using an optical interferometric film thickness monitor.

Manufacturing GaN-HEMTs with a triple channel (Camel) structure requires an etching process with high GaN to AlGaN selectivity on the p-GaN substrate. We developed an etching process with GaN to AlGaN selectivity of 60:1. We also applied interferometric film thickness monitoring for high etch process reproducibility. Another challenge in the Camel GaN-HEMT structure is to prevent current collapse, which prevents drain current, caused by electron traps on the interface of the AlGaN and insulation layers. We managed this issue by lowering the interface states of the AlGaN.

PS-TuP-34 Study of the Substrate Heating in a Magnetron Sputtering Process
Johans Restrepo (University of Mexico); Stephen Muhl, Julio Cruz (Universidad Nacional Autonoma de Mexico)

The substrate heating by the plasma on the magnetron sputtering process is a knowledge process that has not been extended studied such as involve complex effects like ion, excited and neutral surface bombardment and radiation heat from the plasma. To this end we have constructed a multi- point thermocouple to study the spatial variation of the substrate heating under a range of experimental conditions, plasma power and Ar gas pressure during DC magnetron sputtering of titanium. Additionally, we have studied the effect of allowing the thermocouples to be floating, connected to ground and reactive environment. The results showed that the substrate temperature can reach temperature more than 200ºC with a plasma power of 200W and that the highest temperature is localized in front at the race track due to a higher degree of particle bombardment.

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule