AVS2013 Session EM+TF-MoM: High-k Gate Oxides for High Mobility Semiconductors I

Monday, October 28, 2013 8:20 AM in Room 101 B

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule

Start Invited? Item
8:20 AM EM+TF-MoM-1 Ultrathin Titanyl Phthalocyanine Active Layer on Graphene for Atomic Layer Deposition
Andrew C. Kummel, Jun Hong Park (University of California, San Diego); Sang Wook Park (University of California at San Diego)
Several novel designs for beyond CMOS devices have emerged using two-dimensional semiconductors. These devices require deposition of thin insulator on the 2D semiconductor or between two sheets of 2D semiconductors. However, 2D semiconductors are nearly inert surface thereby making uniform nucleation of oxide growth challenging thereby preventing scaling of the insulator thickness. A new technique has been developed to employ a monolayer of ordered metal phthalocyanines (MPc) on graphene directly as a monolayer low-k dielectic or as a nucleation layer for growth of high-k insulator. MPc molecules act as electron donors during reaction with oxidative species; therefore, they are expected to react with high-k gate oxide metal precursors. This study demonstrates the molecular scale observation of formation of O-TiPc mono and bilayers on graphene UHV scanning tunneling microscopy. O-TiPc monolayers were deposited on HOPG surfaces by organic molecular beam epitaxy. After deposition, O-TiPc forms a monolayer with only few defects, and the crystal structure of monolayer has four-fold symmetry.In the monolayer, a bright spot at the center of each O-TiPc molecules is assigned to O. Observation of bright protrusion indicates that O-TiPc of monolayer is directed outward to vacuum consistent with previous photoelectron studies. After further deposition of O-TiPc on the monolayer, growth of second layer is observed. O-TiPc of second layer covers the first layer, and both the first and second layers are highly ordered with four fold crystal structure. However, in second layer, central ion appears as a dark hole, unlike with in the monolayer. This implies O species of O-TiPc is directed downwards.For bilayer 2D semiconductors devices, when using O-Ti-Pc as a low-k insulator, the stacking of the 1st and 2nd layers of Ti-OPc is critical since the monolayer might provide differential doping of the top and bottom layer while the bilayer would provide a non-polar low K insulating layer. Scanning tunneling spectroscopy showed that the Fermi level of O-TiPc is slightly shifted to the lowest unoccupied molecular orbital, although EF is still in the midgap. O-TiPc is “N-type” in vacuum, while it becomes to “ P-type” in air due to reaction with oxygen species. In the air, oxidative molecules take electrons from O-TiPc during chemisorption via central ion of O-TiPc. At same time, holes are injected into the O-TiPc layer.Due to this property, O-TiPc molecules are expected to have strong reactivity with ALD precursors. Consequently, O-TiPc can not only act as a low-K dielectric but also induce nucleation of ALD source on central ion of O-TiPc for high-k dielectric growth.
8:40 AM EM+TF-MoM-2 Correlation between Current-Voltage Measurements and the Barrier Height Determined by XPS in Ge p-MOS Capacitors
Sivan Fadida, Felix Palumbo (Technion Israel Institute of Technology, Israel); Laura Nyns, Dennis Lin, Sven Van Elshocht, Matty Caymax (IMEC, Belgium); Moshe Eizenberg (Technion Israel Institute of Technology, Israel)

One of the solutions to the constantly growing demands of the microelectronic industry is to replace Si, the channel material in metal oxide field effect transistors (MOSFETs), with a higher mobility semiconductor. Being a leading candidate, Ge has recently been the center of numerous research works. Ge surface passivation was a major challenge which was almost exclusively addressed in Ge related research. Once a few options for good passivation of Ge were found, the current challenge in Ge MOSFET research is to find a gate dielectric with the desired properties. This dielectric should have a large band gap, large band offsets with respect to Ge, chemical and thermal stability on top of Ge or on top of the passivation layer, and a high dielectric constant (high-k).

In this work the dielectric stack HfMOx/Al2O3/GeO2 with the nominal thicknesses 4/2/0.7 nm was studied on top of p-Ge. GeO2 served as the passivation layer, while Al2O3 was chosen for its wide band gap and band offsets with respect to Ge, and its excellent chemical stability on GeO2. Since the dielectric constant of Al2O3, is not sufficiently high, an additional top high-k dielectric is needed. We have studied Hf-based oxides (HfO2, HfAlOx, HfGdOx, and HfZrOx) as the top high-k dielectric.

In order to examine the suitability of the dielectric materials, current-voltage (I-V) measurements were conducted. The results show a significant difference between HfAlOx and the other high-k studied. While HfO2, HfGdOx and HfZrOx display similar I-V curves, with the same trend and the same level of leakage current, the leakage current of the HfAlOx containing sample is one order of magnitude lower.

In order to understand the root cause of this phenomenon, the band alignments of the samples were studied using X-Ray Photoemission Spectroscopy (XPS). Here, again, the HfAlOx sample stands out: only in this high-k dielectric the valence band offset (VBO) with respect to Ge is larger than the VBO of Al2O3 (3.6 and 3.1 eV respectively), while for the other dielectrics it is equal to the VBO of Al2O3 (3.0-3.1 eV). Examination of the conduction band offsets (CBO) shows no difference between the samples. The CBO of the Hf-based high-k dielectrics with respect to Ge are in the range of 1.5-1.7 eV, while the CBO of Al2O3 with respect to Ge is 3.1eV.

These results can be correlated with our I-V results leading to the conclusion that the dominant mechanism of current in these samples is hole tunneling in the Fowler-Nordheim mechanism. In order to establish this conclusion, we have also measured the leakage current of a reference sample with the structure: Al2O3/GeO2/Ge. The results confirm the model suggested.
9:00 AM EM+TF-MoM-3 Gate Dielectrics on Graphene
Jiyoung Kim (The University of Texas at Dallas)

2-D nano-materials, like graphene and Van Der Waals layered materials, have attracted lots of attentions as new generation electronic materials for device applications because of their prominent properties such as high-mobility, flexibility and optical transparency compared to conventional semiconductor materials like silicon. Therefore, it is desperately required to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition, physical assembly among others have been employed in order to integrate dielectrics for graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of graphene, most of the atomic layer deposition methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A review regarding the various dielectric integration schemes will be provided. Effects of ALD process on transport characteristics of 2D channel layers including graphene and TMD (transition metal dichalcogenide) will be also discussed.

This work was financially supported by the SWAN program funded through NRI-SRC.

9:40 AM EM+TF-MoM-5 Impact of N2 and Forming Gas Plasma Exposure on the Growth and Interfacial Characteristics of Al2O3 on Al0.25Ga0.75N
Xiaoye Qin, Barry Brennan, Hong Dong, Angelica Azcatl, Robert Wallace (University of Texas at Dallas)

AlGaN/GaN high electron mobility transistors (HEMTs) are of significant interest for high power, high frequency and high temperature devices due to their large band gaps, high mobility and chemical stability compared to Si and other high mobility III-V materials. However, a large leakage current and frequency dependent current collapse degrades the performance of AlGaN/GaN HEMTs. The surface passivation process consisting of a suitable surface treatment and growth of a high-k oxide layer between the semiconductor and the gate metal is one of the proposed methods to address these issues.

In this study, we investigate atomic layer deposition (ALD) of Al2O3 on the native oxide, N2 plasma and forming gas plasma exposed AlGaN surface, respectively. X-ray photoelectron spectroscopy (XPS), l­o­w ene­r­gy electron diffraction (LEED) is used to determine the interface after N2 or forming gas plasma exposure. Initial results indicate that N2 or forming gas plasma is able to remove carbon and decrease the concentration of oxygen. And the contamination level is lower than various alternative wet chemical treatments. The interaction between the Al2O3 and the AlGaN surfaces during deposition is also studied by XPS. The XPS and LEED are carried out in-situ by transferring the samples between the plasma chamber, the ALD reactor and the analysis chamber under UHV conditions (< 2 x 10-10 mbar) to prevent contamination due to atmospheric exposure.

The work is supported by the AOARD under AFOSR Grant No. FA2386-11-14077

10:00 AM EM+TF-MoM-6 Monitoring the HfO2-InAs Interface during the ALD Process using Ambient Pressure X-ray Photoemission Spectroscopy
Rainer Timm, Sofie Yngman, Ashley Head, Johan Knutsson, Martin Hjort, Jan Knudsen, Joachim Schnadt, Lars-Erik Wernersson, Anders Mikkelsen (Lund University, Sweden)
MOS structures based on III-V semiconductors with high-k oxide layers formed by atomic layer deposition (ALD) are highly promising. In order to achieve superior device performance, a precise control and profound knowledge of the semiconductor-oxide interface is crucial, but not fully reached yet. One of the great challenges is to characterize the chemical reactions taking place at the interface between the III-V semiconductor, its native oxide, and the high-k dielectric material during the ALD process. X-ray photoemission spectroscopy (XPS) has successfully been used to investigate this interface before and after individual steps of the ALD reaction [1,2], but was until now limited to ultrahigh vacuum conditions.

Here we present ambient pressure XPS studies of the atomic layer deposition of HfO2 on InAs: By performing subsequent half-cycle steps of the ALD process within the reaction cell of an ambient pressure XPS system, we were able to monitor the slowed down ALD reaction by XPS and thus obtain fully in-situ and real-time XPS measurements of the high-k deposition on III-V semiconductors for the first time. The experiments were performed at the HP-XPS endstation of synchrotron beamline I511 at the MAX IV Laboratory [3]. Tetrakis(dimethylamino)hafnium (TDMA-Hf) and water were used as precursors, deposited at pressures between 10-3 and 10-2 mbar.

After an activation time of up to several minutes, a complete reduction of the As-oxides within about 20 s could be seen in the real-time As 3d spectra during exposure to TDMA-Hf. Hf 4f spectra show a significant amount of hafnium to be present on the surface only when the As-oxide reduction sets in, indicating that the reaction occurs immediately as soon as hafnium precursors are adsorbed on the surface. During the second half-cycle, surface hydroxylation occurs within about a minute as seen in O 1s spectra during water exposure. N 1s and C 1s spectra taken under vacuum conditions between subsequent ALD half-cycles change reversibly between different N- and C-based components. If the substrate temperature is reduced from 220°C to 160°C, an incomplete As-oxide reduction together with significant adsorption of non-reacting hafnium precursor material on the surface is observed. We will discuss the dependence of the chemical reactions on further ALD conditions and the relevance of such ambient pressure XPS studies for an improved understanding and control of the semiconductor-oxide interface.

[1] Hinkle et al., Curr. Opin. Solid St. M. 15, 188 (2011)

[2] Timm et al., Appl. Phys. Lett. 97, 132904 (2010)

[3] Schnadt et al., J. Synchrotron Radiat. 19, 701 (2012)

10:20 AM BREAK
10:40 AM EM+TF-MoM-8 Challenges and Progress in Complementary Tunnel FETs
Mark Wistey, Guangle Zhou, Yeqing Lu, Rui Li, Qin Zhang, Wan Sik Hwang, Qingmin Liu, Tim Vasen, Chen Chen, Meng Qi, Haijun Zhu, Jenn-Ming Kuo, Soodoo Chae, Y. Lu, H. Zhu, J.-M. Kuo, Thomas Kosel, Siyuranga Koswatta, Patrick Fay, Alan Seabaugh, Huili(Grace) Xing (University of Notre Dame)
Tunneling field effect transistors (TFETs) may offer logic performance comparable with low-power CMOS while consuming far less power. This talk summarizes recent work on TFETs based on III-V and 2D materials. In this talk , we discuss recent results in modeling and fabrication of TFETs with high on current, low off current, and low subthreshold swing (SS). Self-aligned, planar III-V TFETs are shown with high on-current of ION=0.18mA/µm at VGS=0.5 V. An analytic and simulation model was developed to compare between in-line (vertical) and transverse tunneling geometries, as well as to compare the supply voltage, bandgap, SS and Ion for single-gate, double-gate, and gate-all-around (nanowire) geometries. The optimal bandgap was found to depend on device geometry and size, leading to possible variability between devices. Also, for gate lengths below 10 nm, the minimum VDD for planar TFETs increases to 4.8 V, reducing the advantage over MOSFETs. But GAA and 2D materials still offer VDD as low as 0.22 V with ION/IOFF=105. As with MOSFETs, gate dielectrics play a predominant role in performance. High Dit easily hinders device performance and requires SS above 60 mV/decade. Test dielectric interfaces with strained InP and InAs/ZnTe interfaces were studied for low-voltage applications. Models and experiments both showed that TFETs are sensitive to fabrication misalignment in the gate overlap regions. Self-aligned devices show the best performance and highest yield. Finally, to replace CMOS, we need an inverter with low static power dissipation. This requires complementary devices analogous to NMOS and PMOS. The talk discusses some of the lessons from the previous work for moving forward with pTFETs.
11:20 AM EM+TF-MoM-10 In Situ Infrared Study on the Interfacial Layer Formation during the Atomic Layer Deposition of Aluminum Silicate on Chemically-treated InP(100)
Wilfredo Cabrera, Karla Bernal-Ramos, Abraham Vega (The University of Texas at Dallas); Ian Povey (Tyndall National Institute, Ireland); Hong Dong, Barry Brennan, Robert Wallace, Yves Chabal (The University of Texas at Dallas)

Growing suitable high-k dielectrics for high-performance III-V metal-oxide semiconductor field effect transistor (MOSFET) devices remains a challenge because native oxides on III-V semiconductors contain a high number of interfacial defects. In particular, atomic layer deposition (ALD) of Al2O3 has been thoroughly studied, featuring a “self-cleaning” phenomenon or reduction process of the initial native oxide by trimethyl aluminum. Despite notable progress in improving the interface quality, the number of interfacial defects still prevents the manufacturing of quality MOSFET devices. A fundamental understanding of the chemical composition of the interface and its evolution during processing is important to make further progress. In this study, in-situ infrared (IR) spectroscopy is used to examine the growth at 300°C of aluminum silicate (AlSiOx) using trimethylaluminum (TMA), silicon tetrachloride (SiCl4) and heavy water (D2O) on degreased native oxides and chemically-treated (5% vol. HF and 10% vol. (NH4)2S) InP(100) surfaces. After an initial TMA exposure, the formation of Al-O-P species is observed, with a loss of In(PO3)3 and gain of x-(PO4) (x= In and Al) (at 1007 and 1145 cm-1, respectively) along with the formation of Al-O-Al bonds (absorption band at 800 cm-1). This observation is consistent with the “self-cleaning” effect whereby the native oxides are reduced by formation of aluminum oxide and different chemical species. Upon the subsequent D2O exposure, the loss of the 1217 cm-1 and 2942 cm-1 bands indicates the removal of the aluminum-bound methyl groups through ligand exchange. Interestingly, a subsequent pulse of SiCl4 gives rise to a vibrational mode at 1060 cm-1, assigned to Si-O-P. This indicates that SiCl4molecules primarily react surface P-O(D) groups to form a silicon phosphate structure on the native oxides. After the subsequent D2O exposure, further growth of the mode at 1060 cm-1 suggests the continued formation of surface Si-O-P. The presence of AlSiOx is observed on the degreased native oxide InP(100) substrates after eight supercycles. In conclusion, a complex consisting of primarily of Al-O-P develops initially, associated with a self-cleaning mechanism. As further growth develops, a complex of Al-O-Si becomes apparent, as indicated by the appearance and growth of the mode at 1151 cm-1. The information derived from this study makes it possible to optimize the growth conditions for tailored aluminum silicate layers on InP surfaces. This work is made possible by National Science Foundation as a part of the U.S. –Ireland R&D Partnership (Grant no. NSF-ECCS-0925844) and Science Foundation Ireland [Grant No.09/IN.1/I2633.]

11:40 AM EM+TF-MoM-11 Density Function Theory Simulations of a-Al2O3/GaN(0001) Interfaces Resulting from Ex Situ and In Situ Surface Preparation
Andrew Kummel, Evgueni Chagarov, Siyuan Gu, Peter Asbeck (University of California San Diego); Madisetti Madisetti, Serge Oktyabrsky (University at Albany-SUNY); Tobin Kaufman-Osborn, Amanda Kerr (University of California San Diego)
The structural and electronic properties of amorphous a-Al2O3/GaN(0001) interfaces were investigated by density-functional theory (DFT) molecular dynamics (MD) simulations. Realistic amorphous a-Al2O3 samples were generated using a hybrid classical-DFT MD “melt-and-quench” approach and tested against experimental properties. Every stack was annealed at 800K, cooled to 0K and relaxed to the ground state giving the system enough freedom to form realistic interface. The simulated stacks were recalculated with HSE 06 hybrid-functional to provide accurate electronic structure analysis and bandgap representation. Subsequently, a series of a-Al2O3/GaN(0001) interfaces were investigated by bonding the oxide to various GaN(0001) surfaces and annealing the stacks at 800K for 2000 time steps, cooling to 0K and relaxing to form the final structures. GaN(0001) (Ga-polar) models where chosen to simulate the surfaces obtained with different ex-situ wet cleaning and in-situ dry cleaning including NH4OH(aq), O2(g) oxidation, (NH4­)2S, NH3(plasma), and TMA /H(plasma treatments). Nearly all MBE GaN(0001) surfaces are prepared with a Ga adlayer. The inclusion of Ga-adlayer resulted in an a-Al2O3/GaN(0001) bonding with multiple midgap states mainly from metal-metal (Al-Ga) bonds. In-situ O2 reaction at 500˚C has been previous shown to eliminate metal-metal bonding within the Ga-adlayer/GaN(0001); DFT-MD simulations show that the resultant O-Ga-O layer provides an excellent passivation layer between a-Al2O3 and GaN. The DFT-MD simulations of direct bonding between a-Al2O3 and GaN(0001) revealed predominantly Ga-O bonding with no intermixing; the simulations show the key to forming a passive interface is nucleating the ALD in each unit cells to remove all Ga dangling bond states. A 5-step combined ex-situ wet cleaning and in-situ cyclic TMA /H treatment resulted in an extremely high nucleation density and concurrent lower dispersion in both threshold and accumulation capacitance consistent with lower interfacial defect density and lower border trap density. XPS experiments showed the bonding at the interface was covalent consistent with the DFT calculations.
Time Period MoM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule