AVS2003 Session TF-WeP: Poster Session

Wednesday, November 5, 2003 11:00 AM in Room Hall A-C

Wednesday Morning

Time Period WeP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2003 Schedule

TF-WeP-1 A Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect
H.S. Sim, S.I. Kim (Korea Institute of Science and Technology); H. Jeon (Hanyang University, Korea); Y.T. Kim (Korea Institute of Science and Technology)
Tungsten nitride (W-N) was grown on tetraethylorthosilicate (TEOS) by pulse plasma enhanced atomic layer deposition (PPALD) from WF6 and NH3. It has been very difficult to deposit W-N film on the SiO2 surface with ALD method by using WF6 and NH3 because WF6 does not adsorb on the SiO2 surface. In this work, however introducing NH3 pulse plasma, which modify the SiO2 surface to nitride surface, we can deposit the W-N film on the SiO2 surface with the growth rate of ~1.3 monolayer/cycle at 350°C. N concentration is also uniformly distributed in the W-N film. This is due to the surface nitridation to enable the adsorption of WF6 at the SiO2 surface. As a diffusion barrier for the Cu interconnect, electrical measurement reveals that 22 nm thick W-N successfully prevents Cu diffusion after the annealing at 600°C for 30 min.
TF-WeP-2 Atomic Layer Deposition of Iron Oxide Thin Films
T.M. Klein, L.A. Falco (University of Alabama)
Atomic layer deposition (ALD) has been successfully used in various thin film applications such as electroluminescent (TFEL) flat panel displays, electrochemical solar cells, gas sensors, optical coatings, and microelectronics materials. Thin epitaxial films for super lattices and quantum wells have also been demonstrated by ALD. A wide variety of metal oxide materials have been investigated, however, Fe2O3 has not been studied extensively. In this poster, we will present the properties of atomic layer deposited hematite (Fe2O3) thin films on MgO and Al2O3 substrates using iron (III) acetylacetonate, a solid which sublimes at 110 °C and 2 torr. Iron oxide films may be useful on solid oxide membranes to modify surface selectivity in fuel cell applications.
TF-WeP-3 Spatially Regulated Growth of SnO2 Thin Films on Si-C Linked Monolayer Template Based on Self-Assembly Technique: Fabrication of Micro Sensor Arrays
N. Shirahata, A. Hozumi, Y. Yokogawa, T. Kameyama (National Institute of Advanced Industrial Science and Technology, Japan); W.S. Seo (Advanced Materials Analysis & Evaluation Center, Korea); K. Koumoto (Nagoya University, Japan)
Increasing attention has recently been paid to the low temperature deposition of SnO2 thin films due to its excellent gas sensitivity. The micropatterning of such a conductive material is crucial in order to apply it to microelectronics devices, for example, gas sensor. Unfortunately, due to high chemical reactivity, SnO2 is difficult to micropattern with conventional processes using chemical etching. Therefore, the development of an alternative method to fabricate SnO2 microstructures having a high resolution is strongly desired. In this study, we report a novel approach to fabricate SnO2 micro-array based on the molecular recognition between the precursor molecules and the Si-C linked monolayer. The hydrophobic Si-C linked monolayer substrate was micropatterned by the use of 172 nm vacuum ultraviolet (VUV) light lithography. The VUV irradiated regions became hydrophilic due to the formation of silicon oxide (SiOx) layer. This micropatterned substrate was immersed into solution containing one of two types of precursors, i.e., SnF2 and SnCl2·2H2O (0.03-0.1 M and pH=1.7-5) and kept at less than 80 °C for several hours. After immersion, each sample was sonicated in ethanol in order to remove the physisorbed precursors onto the OD-monolayer (ODM) surface. As confirmed by SEM, AFM and XRD, SnO2 thin films with cassiterite structures grew preferentially on the SiOx surface while rarely depositing on the ODM surface. As-deposited films consisted of small grains having several tens nm in diameter. Although the crystallinity of the films increased with a decrease of pH values, gas sensitivity of as-formed films to hydrogen molecules was insufficient without annealing. In our case, at least annealing temperature of 300 °C was required in order to attain sufficient gas sensitivity.
TF-WeP-4 The Effect of Temperature on the Materials Properties of Low k Films Deposited from Organosilicon Precursors
M.L. O'Neill, A.S. Lukas, R.N. Vrtis, J.L. Vincent, E.J. Karwacki, B.K. Peterson, M.D. Bitner (Air Products and Chemicals, Inc.)
Organosilicate glass (OSG) materials are the leading interlayer dielectric (ILD) candidates for current and future technology nodes for integrated circuit manufacture. Current leading edge ILD films are deposited by plasma enhanced chemical vapor deposition (PECVD) with dielectric constants (k) in the range of 2.7-3.2. Future generation ILDs with k < 2.6 require the introduction of porosity. However integration issues caused by the reduced mechanical strength of these materials have delayed their introduction into manufacturing. Although there are numerous ways in which to introduce porosity to a material, the common goal for the processing of these materials is the means to optimize the networking forming process. Herein we examine the effect of temperature on material properties for films produced by PECVD from various OSG precursors. Recently developed codeposition methods use plasma polymerizable organic materials to template porosity in an OSG network during the deposition process. The process requires lower temperature depositions (150-300 °C) to deposit a discrete porogen phase along with the OSG network. Reduced deposition temperatures further compromise material mechanical strength by increasing the number of non-network forming groups in the film. A detailed comparison of film structure and properties with deposition temperature is used to identify the critical components of the precursor in providing the optimal OSG network. Balance between electrical and mechanical properties will provide the maximum opportunity for extension to future generation porous OSG dielectric materials.
TF-WeP-5 Photocatalytic Activity and Surface Wettability of TiO2/SnO2 Heterojunction System
N. Kanai, C. Saiki (The University of Tokyo, Japan); Y. Fukunaga, M. Abe (Tokyo University of Science, Japan); K. Hashimoto, T. Watanabe, H. Ohsaki (The University of Tokyo, Japan)
Photocatalytic efficiency of TiO2/SnO2 (TiO2 overcoated with SnO2) heterojunction system was investigated. TiO2 and SnO2 thin film stacks were deposited on sodalime glass by reactive DC magnetron sputtering using Ti and Sn metal targets. Photocatalytic efficiency of TiO2/SnO2 thin film stacks was evaluated by gas-phase isopropanol (IPA) photodegradation with UV light irradiation. Photocatalytic efficiency of TiO2/SnO2 thin film stacks depends on electric conductivity and packing density of overcoating SnO2. This result suggests that photogenerated holes might work as a main photocatalysis actor; oxygen defects in SnO2 trap photogenerated holes. The results also indicate that the interface between TiO2 and SnO2 has a great influence on photocatalytic efficiency. Surface wettability of TiO2/SnO2 thin film stacks was dramatically improved.
TF-WeP-6 Characterization of PECVD Low-k Films by Positronium-Annihilation Lifetime Spectroscopy
T. Ohdaira, R. Suzuki (National Institute of Advanced Industrial Science and Technology (AIST), Japan); Y. Shioya, K. Maeda (Semiconductor Process Laboratory (SPL), Japan)
Positronium-annihilation lifetime spectroscopy (PALS) was used to measure pore size distributions in porous SiOCH films for low-k interlayer dielectrics and Cu-diffusion barriers, which were grown by plasma-enhanced chemical vapor deposition (PECVD) with source gases of HMDSO (hexamethyldisiloxane). In the PECVD, the dielectric constants (k) of the films change with the deposition conditions (pressure, flow rate, RF power, temperature, etc). The PALS analysis showed that the PECVD-grown SiOCH films with k in the range from 2.6 to 4 contain pores with average sizes from 0.4 to 1.2 nm, and that the k values of the films correlate strongly with the pore sizes. The PALS depth profiling was also carried out for the SiOCH low-k films with post plasma treatments and UV irradiations. For the plasma treated films, it is found that the size of the pores in a few 10 nm beneath the surface decreases significantly, indicating that a thin dense layer is formed on the surface.
TF-WeP-7 Structural and Electronic Properties of (CdTe)x(In2Te3)1-x Thin Films Grown by RF Co-Sputtering1
S. Jimenez-Sandoval, M. Melendez-Lira (Cinvestav-IPN, Mexico); M. Zapata-Torres (CICATA-IPN, Mexico)
The semiconductor CdTe and In2Te3 can be employed to form the ternary compound (CdTe)x(In2Te3)1-x. The compounds CdIn2Te4 and In2Te3 have a band gap of 1.12 eV and 1.0 eV respectively. A band gap modulation toward low energies could be achieved by the incorporation of In in the CdTe lattice. Potential applications for this ternary semiconductor can be thought of in near-IR optoelectronics and photovoltaic heteroestructures. Trying to obtain a gradual incorporation of In in CdTe we produce (CdTe)x(In2Te3)1-x thin films by co-sputtering of CdTe and In2Te3 targets. A previous evaluation of the sputtering yield allow us to control the x parameter through the rf power deliver at each target. We present the results of the chemical, structural and electronic characterization, of a set of eleven thin films, obtained by EDS, X ray diffraction, optical transmission, photoreflectance, photoluminescence, IR and Raman spectroscopies. EDS results indicates that the In content changes between 0 and 38 at%; Cd between 50 and 0 at % and Te between 50 and 62 at %. X ray diffraction showed a gradual change in the CdTe peak positions as In contents increases but for the higher In contents samples were amorphous. Transmission spectroscopy indicates a gradual change of the absorption band edge making difficult to assign the band gap value. Band gap values were obtained by photoreflectance spectroscopy for samples with indium contents up to 17%, indicating a direct band gap. For some samples two transitions were detected. It is possible that for the substrate temperature employed in our system CdTe-rich and In2Te3-rich compounds were produced with band gap values around 1.43 eV and 1.19 eV, respectively. The results are discussed taking in account the results of the vibrational properties of the thin films.


1Work partially supported by CONACyT-Mexico.

TF-WeP-9 P-Type Semiconducting Cu2O-NiO Thin Films Prepared by Magnetron Sputtering
T. Minami, H. Tanaka, T. Shimakawa (Kanazawa Institute of Technology, Japan)
This report describes the preparation of p-type semiconducting thin films consisting of a new multicomponent oxide, Cu2O-NiO. The Cu2O-NiO films were deposited on glass substrates at a temperature of 200-500°C by r.f. magnetron sputtering carried out at a pressure of 0.2-2.0 Pa in an Ar or O2 gas atmosphere with an r.f. power of 80 W using a powder target. A mixture of Cu2O and NiO powders calcined at 1000°C in an Ar or air atmosphere for 1 h was used as the target: Ni contents (Ni/(Cu+Ni) atomic ratio) in the range from 0 to 100 at.%. The obtained electrical and optical properties of Cu2O-NiO thin films were strongly dependent on the deposition conditions as well as the Ni content of the target. P-type semiconducting Cu2O-NiO thin films could be prepared at 500°C in a pure Ar gas atmosphere at a pressure of 0.4 Pa using targets calcined in Ar: All the prepared Cu2O-NiO thin films were found to exhibit positive hole conduction, as evidenced from Hall measurements and the Seebeck effect. The resistivity of the Cu2O-NiO thin films prepared under the above conditions increased markedly as the Ni content was increased up to about 30 at.%, remained relatively constant in the range of about 30 to 60 at.%, and then increased markedly with further increases of Ni content. In the Cu2O-NiO thin films prepared with an increasing Ni content in the range from 0 to 30 at.%, the obtained resistivity increased from 70 to 4×104Ωcm, resulting from decreases in both Hall mobility and hole concentration, and band-gap energy increased from 2.6 to 2.9 eV. In contrast, the Cu2O-NiO thin films prepared with a Ni content in the range from 30 to 50 at.% exhibited a relatively constant resistivity on the order of 3×104Ωcm; these films were identified as the ternary compounds NiCuO2 or Ni2CuO3, by x-ray diffraction analyses. The multicomponent oxide Cu2O-NiO thin films prepared by r.f. magnetron sputtering with a Ni content in the range from 0 to 100 at.% were found to be p-type semiconductors with a resistivity that was increased by increasing Ni content. From spectroscopic ellipsometric measurements, it was found that the band-gap energy of Cu2O-NiO films can be controlled in the range from 2.6 to 4 eV.
TF-WeP-10 Photocatalytic Related Properties and Structure of Titanium Oxide Films
C.B. Shiu, M.C. Yang, T.S. Yang, M.S. Wong (National Dong Hwa University, Taiwan, ROC)
Titanium dioxide (TiO2) films were prepared with ion-assisted electron-beam evaporation technique using rutile TiO2 powder as a source material. Various oxygen flows and ion bombardments were applied to adjust and to modify the composition and the structure of the growing films. Various characterization methods such as ellipsometry, Raman spectroscopy, UV-Visible spectroscopy, XRD, SEM, and TEM were used to measure the crystallinity, morphology, optical and mechanical properties of the films. The photocatalytic related properties under UV and visible lights were characterized by water-contact angle measurement, oxidation of methylene-blue solution, and reduction of Ag from AgCl solution. In general anatase TiO2 films exhibited overall the best photocatalytic performance. However, variations in thickness, composition, phase and crystallinity in the films made a difference in their catalytic behaviors. The effects of film processing parameters on the structure and properties of the oxides will be discussed.
TF-WeP-11 Influence of the Microstructure on the Sputter-etching Characteristics of Pulsed-laser Deposited Strontium-titanate-oxide Thin Films
L. Stafford (Universite de Montreal, Canada); M. Gaidi (INRS-Energie, Canada); O. Langlois (Universite de Montreal, Canada); M. Chaker (INRS-Energie, Canada); J. Margot (Universite de Montreal, Canada); M. Kulishov (Adtek Photomask Inc., Canada)
Strontium-titanate-oxide (STO) thin films are of great interest for several photonic applications such as high-speed electro-optic elements for next-generation all-optical networks. Obviously, the integration of STO layers into such devices requires a simultaneous optimization of the deposition method and of the patterning process. In this context, we have investigated the influence of the deposition parameters on the etching characteristics. For this purpose, in a first step, stoichiometric SrTiO3 thin films with the crystallographic perovskite structure were grown on a silicon substrate using a Pulsed-Laser Deposition (PLD) technique. The microstructural properties of the films were studied as a function of the buffer gas pressure (O2). In a second step, we have examined the sputter-etching characteristics of the as-deposited films using a high-density argon plasma operated at very low pressure (1 mTorr) and a substrate bias of 100 V. The etch rate of the STO thin films is found to increase with the O2 deposition pressure, a feature that can be related to the microstructural properties of the film (grain size, lattice parameter, etc.) induced by changes in growth conditions. We will show that the etch rate is actually related to the macroscopic film density (film porosity) rather than to its microscopic density (unit cell volume of the film).
TF-WeP-12 Ferroelectric Properties of Highly Oriented BLT Films for Ferroelectric-gate Field-effect Transistors
J.M. Lee, C.I. Kim, K.T. Kim (Chungang University, Korea)
Ferroelectric thin films such as Bi-based layered perovskite (SrBi2 Ta2 O9, Bi4 Ti3 O12, Bi3.25 La0.75 Ti3 O12, etc.) and Pb(Zr,Ti)O3 thin films have been extensively investigated for non-volatile ferroelectric random access memory (FeRAM) devices. Metal-ferroelectric-semiconductor field-effect-transistors (MFSFETs) have advantages of high switching speed, nonvolatility, and high density. However, the MFSFETs have been problem such as interdiffusion between the film and Si. To suppress them, a metal-ferroelectric-insulator-semiconductor (MFIS) structure has been demonstrated. The most important thing in developing a MFIS structure is to find a good insulator that acts as a buffer between the Si substrate and the ferroelectric material, and have relative high dielectric constants, low leakage current, good interface characteristics, and compatibility. The MFIS capacitors were fabricated using a metalorganic decomposition method. Thin layers of Al2 O3 were deposited as a buffer layer on SiO2 /Si and BLT thin films were used as a ferroelectric layer. The electrical and structural properties of the MFIS structure were investigated by varying the Al2 O3 layer thickness. X-ray diffraction was used to determine the phase of the BLT thin films and the quality of the Al2 O3 layer. AES and TEM show no interdiffusion that suppressed by using the Al2 O3 film as buffer layer. The width of the memory window in the C-V curves for the MFIS structure increased with increasing thickness of the Al2 O3 layer. The experimental results show that the BLT-based MFIS structure is suitable for non-volatile memory FETs with large memory window.
TF-WeP-13 The Ferroelectric Properties of Lanthanide-doped Pb(Zr, Ti)O3 Thin Films Prepared by using a Sol-gel Method
C.I. Kim, Y.H. Son, K.T. Kim (Chung-Ang University, Korea)
The PZT film has a large polarization and a low crystallization temperature. However, there are some problems in the PZT film, such as fatigue with Pt electrodes and poor retention. In this study, lanthanide (Eu, Dy, Tb, Er)-doped lead zirconium titanate(PZT) thin films on the Pt/Ti/SiO2/Si substrates prepared by a sol-gel method. According to the ionic radius, lanthanide (Ln) tends to occupy the A-site of PZT perovskite structure and acts as a donor that generates Pb vacancies. Ln doping was found to alter significantly the dielectric and ferroelectric properties. We investigated the effect on the structural and electrical properties of PZT films as a function of Ln concentrations. The structure and the morphology of PZT films were analyzed by x-ray diffraction (XRD), atomic force microscope (AFM), and scanning electron micrograph (SEM). The depth profile of the interfaces between PZT films and electrode materials were examined using Auger electron spectroscope (AES). SEM and AFM showed uniform surface of PZT films. The dielectric constant and the dielectric loss of Ln-doped PZT thin films decreased with the increasing Ln content. Ln-doped PZT thin films showed improved fatigue characteristic comparing to the undoped PZT thin film.
TF-WeP-14 Amorphous Transparent Conductive Oxide Films of In2O3-ZnO with Additional Al2O3 Impurities
K. Tominaga, H. Fukumoto, Y. Hayashi, K. Murai, T. Moriga, I. Nakabayashi (Tokushima University, Japan)
In2O3-ZnO films were deposited by facing target sputtering system of ZnO:Al and In2O3 targets. Two targets were sputtered simultaneously in Ar gas at 1 mTorr, and electric current ratio ganma=IZn/(IZn+IIn) was adopted as a deposition parameter to change film composition. Discharge current of each target was changed from 0 to 80 mA in order to change the contents of Zn and In in the film. Compositional ratios of Zn/(Zn+In) in films were nearly equal to ganna value according to the data estimated by X-ray fluorescence analysis. Five ZnO:Al targets containing 0,1,2,3 and 4 wt% Al2O3 were used for Al adding in the films. In2O3-ZnO films in amorphous phase were deposited between Zn/Zn+In=0.2-0.6. The lowest resistivity of 1.5x10-4 Ωcm was attained in amorphous phase films deposited from In2O3 and ZnO:Al (Al2O3=2 wt %). Carrier mobility did not depend on Al2O3 content in ZnO:Al target. Carrier concentrations at the lowest resistivity in amorphous In2O3-ZnO had the highest value for 2 wt% Al2O3 content, but decreased at above 3 and 4 wt%. Optical bandgap energy shifted to higher energy side for the film of 4 wt% Al2O3 content. These results indicate that the energy shift of optical bandgap for amorphous phase film is not ascribed to Burstein-Moss shift. The role of Al in amorphous films is different with that of donors in crystalline In2O3:Sb and ZnO:Al. On the other hand, Al2O3 doping in homologous phase of In2O3 film decreased carrier concentration, independent on the levels of Al2O3 doping. This indicates that Al did not act as donor impurities in homologous ZnkIn2Ok+3 crystalline films.
TF-WeP-15 Luminescence Behavior of Li-doped Gd2O3 : Eu3+ Thin Film Phosphors Grown by Pulsed Laser Ablation
S.S. Yi (Silla University, Korea); J.S. Bae, H.J. Seo, B.K. Moon, J.H. Jeong (Pukyong National University, Korea); P.H. Holloway (University of Florida)
Gd2O3 : Eu3+ and Li-doped Gd2O3 : Eu3+ luminescent thin films have been grown on Al2O3 (0001) substrates at substrate temperatures in the range of 500 ~ 700 °C and oxygen pressure in the range of 100 ~ 300 mTorr using a pulsed laser deposition technique. The films grown under different deposition conditions have been characterized using microstructural and luminescent measurements. The photoluminescence brightness data obtained from Li-doped Gd2O3 : Eu3+ films grown under optimized conditions have indicated that sapphire is a good substrate for the growth of high quality Li-doped Gd2O3 : Eu3+ thin film red phosphor. The luminescence of the Gd2O3 : Eu3+ films is highly dependent on the crystallinity and surface roughness of the films. In particular, incorporation of Li+ ions into the Gd2O3 lattice induces a remarkablely enhanced crystallinity and photoluminescence. The diffraction data suggest that the (222) surface is preferentially oriented parallel to the substrate for films grown on Al2O3 (0001). In addition, the full width at half maximum (FWHM) of the diffraction peaks is narrower (~20 %) for films grown with versus films grown without Li-doping. The root mean square roughness of these films was found to vary from 7.5 to 16.1 nm depending upon the Li-doping. The highest emission intensity was observed with LiF-doped Gd1.84Li0.08Eu0.08O3, whose brightness was increased by a factor of 2.3 in comparison with that of Gd2O3 : Eu3+ films. This phosphor is promising for the flat panel displays.
TF-WeP-16 Luminescent Characteristics of Se-doped ZnGa2O4:Mn Thin Film Phosphors Grown by Pulsed Laser Ablation
J.H. Jeong, J.S. Bae (Pukyong National University, Korea); I.W. Kim (University of Ulsan, Korea); J.S. Lee (KyungSung University, Korea); S.S. Yi (Silla University, Korea); P.H. Holloway (University of Florida)
Mn-doped ZnGa2O4-xSex thin film phosphors have been grown using pulsed laser ablation(PLA) under various growth conditions. The structural characterization was carried out on a series of ZnGa2O4-xSex : Mn2+ films grown on MgO(100) substrates using Zn-rich ceramic targets. Zn-rich ceramic targets have been prepared to compensate for the vaporization loss of Zn during PLA. The oxygen pressure was fixed at 100 mTorr and substrate temperatures were varied from 500 to 700 °C. The luminescence results indicated that MgO (100) is a promising substrate for the growth of high-quality of ZnGa2O4-xSex : Mn2+ films. The crystallinity and surface roughness of the ZnGa2O4-xSex : Mn films are highly dependent on the growth conditions, in particular the substrate temperature and the composition ratio of targets. Epitaxial films were obtained on MgO (100) substrates due to the low lattice mismatch between ZnGa2O4 and MgO. The crystallinity of the films is improved with Se doping. The root mean square surface roughness of these ZnGa2O4-xSex : Mn2+ films were found to initially increase from 3.25 nm (x=0.00) to 10.92 nm (x=0.075) then decrease to 8.43 nm (x=0.10) as the amount of Se increases. Incorporation of Se into the ZnGa2O4 lattice led to a remarkable increase of photoluminescence. The highest green emission intensity was observed with ZnGa2O3.925Se0.075 : Mn2+ films whose brightness was increased by a factor of 3.1 in comparison with that of ZnGa2O4 : Mn2+ films. This phosphor is promising for application in flat panel displays.
TF-WeP-17 Low-Resistivity Polycrystalline ZnO:Al Thin Films Prepared by Pulsed Laser Deposition
T. Minami, H. Tanaka, K. Ihara, T. Miyata (Kanazawa Institute of Technology, Japan)
Recently, a very low-resistivity Al-doped ZnO (ZnO:Al, AZO) films comparable to ITO films were attained on glass substrates by pulsed laser deposition (PLD) method. In this report, we describe the preparation of low resistivity AZO thin films, including a description of conditions necessary to obtain the low resistivity on the order of 1×10-4Ωcm. The AZO films were deposited on glass substrates by PLD using an ArF excimer laser. The deposition was carried out under the following conditions: pressure, 10-4 Pa; substrate temperature, 180-350°C; target-substrate distance, 50 mm; substrate, OA-2 glass; and target, sintered ZnO+Al2O3(1-3 wt.%). All AZO thin films prepared with a thickness of 200 to 700 nm exhibited an average transmittance above 85% in the visible range. In order to obtain low-resistivity AZO films, it was necessary to optimize the relationship between the target sintering conditions and the deposition conditions. The obtained resistivity decreased as the substrate temperature was increased, reached a minimum at a temperature of about 240°C, and then increased with further increases of the temperature. The decrease of resistivity associated with the increase of temperature to about 240°C resulted from an increase of Hall mobility, whereas the increase of resistivity with higher temperatures resulted from a decrease of carrier concentration. The Hall mobility increase was found to be correlated to an increase of crystallite size, as evaluated from the (0002) x-ray diffraction peak. On the other hand, the Al content in the films increased gradually as the substrate temperature was increased. The decrease of carrier concentration was ascribed to an increase of oxygen content in the films. As another example, the resistivity decreased as the film thickness was increased. As above, the resistivity decrease was also correlated to a Hall mobility increase resulting from an increase of crystallite size. It is concluded that differences in obtainable Hall mobility can be attributed to differences in the crystallinity such as crystallite size.
TF-WeP-18 Deposition of ITO Film using the DLC Buffer Layer between Plastic Substrate and ITO Layer
M.G. Kim, H.S. Jeong, Y.W. Seo (ITM Inc., Korea)
Recently organic electro luminescence displays(OELD) using a plastic substrate such as PET and PES are emerging as a strong new candidate for flat panel displays. In this study we make diamond like carbon(DLC) films as a buffer layer between plastic substrate and transparent conducting oxide(TCO). The DLC is characterized by high hardness, flatness, and low gas permeability to moisture and oxygen. The unipolar pulse mode of the power supply(IBP1030, ITM, Inc.) was used on a graphite target, and the argon-methane mixed gas was used. Indium tin oxide films were deposited on the DLC buffer layer of the plastic substrate in a horizontal single ended in-line sputter system equipped with a pulsed dual magnetron sputter. Two ITO targets of In2O3(90 wt%):SnO2(10 wt%) were used. To understand the properties of the ITO films deposited by pulsed dual magnetron sputtering processes, we investigated the electrical resistivity, film structure, optical transmission, surface roughness, and gas permeability.

This work was supported by the Ministry of Science and Technology of the Republic of Korea through the National Research Laboratory program.

TF-WeP-19 Deposition of ZnO:Al Gradient Composite Films Using Dual Magnetron Sputtering
H.S. Jeong, H.J. Lee, M.S. Hwang, Y.W. Seo (ITM Inc., Korea); S.J. Kwon (Kyungwon University, Korea)
ZnO:Al films with compositional gradient were prepared by dual magnetron sputter deposition technique of in-line sputter system. The films of compositional gradients are achieved by the angle of dual magnetron sources and the moving direction of carrier substrate. The symmetric pulse mode of the power supply(IAP1010, ITM, Inc.) was used to simultaneously sputter a ZnO target and an Al target. We varied the duty of the pulses to control the ratio of ZnO:Al in the thin films. The electrical and optical properties of the films were measured with a surface profiler, an UV/Visible spectrophotometer, a 4-point probe, X-ray diffraction spectroscopy, and atomic force microscopy. Also to observe the depth profile of the compositional gradient film, the film was analyzed by Auger Electron Spectroscopy. The special attention is paid to the surface roughness, electrical resistance, and optical transmittance.
TF-WeP-20 ZnO Layers Grown by ns and subps Lasers in Nitrogen Atmosphere
M. Jelinek, L. Soukup (Institute of Physics AS CR, Czech Republic); A. Klini (Foundation for Research and Technology - Hellas (FORTH), Greece); M. Cernanský, J. Oswald (Institute of Physics AS CR, Czech Republic); C. Fotakis, D. Anglos (Foundation for Research and Technology - Hellas (FORTH), Greece); R. Zeipl (Institute of Radio Engineering and Electronics AS CR, Czech Republic); A. Santoni (ENEA, Centro Ricerche Frascati, Italy)
Thin ZnO films and nitrogen doped ZnO films were grown by subpicosecond (450 fs) and nanosecond (20 ns) KrF pulsed laser deposition (PLD) and by PLD combined with radiofrequency (RF) discharge. Discharge (13.56 MHz) was situated between two RF electrodes placed parallel to plazma plume. Doped ZnO layers were fabricated in mixture of nitrogen and oxygen, for various Ts and RF power. As substrate fused silica was used. Films were transparent from ~ 350 nm. Highly oriented peaks with FMWH (2? 0.15o was detected by XRD. Films grown in RF discharges were nanocrystalline with crystallites size of 10nm. Hall constant, Hall mobility and resistivity were measured by Van der Pauv method. In dependence on deposition conditions the Hall constant in the range of 1 x 10-7 2 x 10-3 m3/As, mobility from 6 x 10-2 to 18.2 cm2/Vs and resistivity 5.9 x 10-5 to 20 Ωm were obtained. XPS and WDX results and experimental details will be also presented.


1The authors thank to the Czech Grant Agency for financial support under contract S1010203.

TF-WeP-21 Orientation Selective Epitaxy of CeO2(100) or CeO2(110) Thin Films on Si(100) Substrates by Magnetron Sputtering with Substrate Bias
T. Inoue, N. Sakamoto, M. Ohashi, A. Horikawa, S. Shida (Iwaki Meisei University, Japan); Y. Sampei (Fukushima Technology Centre, Japan)
From studies on the epitaxial growth of CeO2 layers on Si(100) substrates using reactive dc magnetron sputtering enhanced with an inductively coupled rf plasma, it is found that the epitaxial CeO2 layer with (100) or (110) orientation is selectively grown by controlling substrate bias and plasma power. Although many reports have been made on the growth of CeO2(110)/Si(100), recently CeO2(100) layers have been reported to grow on atomically cleaned Si(100) surfaces with a 2x1 reconstructed structure in an ultra-high vacuum. Our method has superiority in the requirement of only practical H-terminated surfaces obtained by the usual wet cleaning process. Adopting two step growth method; ultrathin metallic Ce layer deposition at room temperature followed by silicidation process at several hundreds degree C, and subsequent reactive sputtering in an Ar/O2 mixture environment using Ce metal target at elevated temperature, the CeO2(100) layer epitaxy is attained applying either positive or negative substrate bias of 15 - 20 V, whereas CeO2(110) layers are grown without substrate bias. The orientation selection is found to be also dependent on plasma power, in other words the growth rate: the low growth rate leads to (100) orientation and vice versa. This orientation selective epitaxial growth technique will be useful for device applications. Details on the growth parameters of both substrate bias and plasma power and the characterization including RHEED, XRD, XTEM and AFM will be presented.
TF-WeP-23 Analysis and Modeling of Low Pressure CVD of Phosphorus-doped Poly-silicon in Commercial Scale Reactor
R. Shimizu, M. Ogino (Fuji Electric Corporate Research and Development, Ltd., Japan); M. Sugiyama, Y. Shimogaki (University of Tokyo, Japan)
Poly-silicon is a key material for all kinds of semiconductor devices. The main issue for its deposition technology is how to get the uniform deposition rate and dopant concentration on silicon wafers. In this work, to investigate the poly-silicon CVD mechanisms that control the uniformity in a commercial scale LPCVD reactor, we analyzed the elementary reaction of silane based CVD with the doping gas of phosphine. We used longitudinal type CVD reactor of 6inch manufacturing scale. Under the standard condition, sample wafers were fully charged with a spacing of 4.1mm and the 100% silane and 0.8% phosphine gases with nitrogen carrier gas were introduced to the reactor. The growth temperature was kept 550°C along 700mm length hot-zone and the total pressure was 100Pa in the reactor. On the basis of the diffusion model of chemical species into the wafer-gaps, two precursors were found to contribute the profile of deposition rate, and their sticking probabilities were deduced together with the one of silane. The activation energy of direct surface reaction and gas phase reaction rate constant of silane were experimentally derived from the dependencies of the deposition rate on the growth temperature and wafer spacing, respectively. The diffusion model also gave the relative concentration distributions of the two precursors, which were examined with the elementary reaction analysis based on the Ho's model.1 The effect of phosphine gas on the silane reaction was found to be rather large notwithstanding the small concentration of phosphine gas, as in the studies by others.2 The analysis of this phenomenon will show the detail of the chemical reaction system composed of silane and phosphine gases, and give us a useful predictive model of poly-silicon CVD process.


1P. Ho, M. E. Coltrin, and W. G. Breiland, J. Phys. Chem., 98, 10138 (1994)
2B. S. Meyerson and W. Olbricht, J. Electrochem. Soc., 131, 2361 (1984).

TF-WeP-24 A Study of the Growth Front of Au Policristalline Films and its Relation with the Bulk Structure of the Films
C. Munuera, J.A. Aznarez, E. Rodriguez (CSIC, Spain); A.I. Oliva (Centro de Investigaciones y Estudios Avanzados del IPN Unidad de Merida, Mexico); M.A. Aguilar, J.L. Sacedon (CSIC, Spain)
The surface roughness of Au polycrystalline films with a high (111) texture has been studied using STM images. The growth front has been characterized in samples with thickness from 30 to 1800 nm by measuring the interface width and the coarsening of apparent rounded mounds. In this analysis any isotropic or self-affine hypothesis has been avoided. In addition, the fracture of the thickest films have been analysed by SEM. The films have been obtained by thermal evaporation on native SiO2 terminated Si(100) substrates maintained at room temperature. The rate of growth was 1.0 nm/s. The SEM images show a competitive columnar growth for thicknesses from <90nm to 1800 nm. They also show that the mound round shaped structures correspond to the top of the columns. The interface width scaling behaviour obtained from STM images shows two growth regimes, the more advanced starts at 60 nm and corresponds to a constant morphological slope regime.In this advanced stage, the value of the interface width and coarsening scaling exponents are close to 1/3. The exponent values and fracture structures agree with the parametric model of E.V. Albano et al.(PRB 59,7354 (1999)). This model is based on the concept that surface diffusion phenomena controls the bulk structure of the film. Local slopes along the surface profiles are compatible with a significant step down atomic current component, which is necessary to allow the burial of the less competitive columns. The linear boundaries of the surface structure suggest a relaxation of the columnar boundaries to crystalline planes causing the weak hexagonal symmetry observed in the height to height correlation functions.
TF-WeP-26 Structure and Properties of Nanolaminate Chromium/ Titanium Oxide Films by Pulsed-DC Reactive Sputtering
M.S. Wong, M.C. Yang, H.B. Chou (National Dong Hwa University, Taiwan, ROC); M.E. Graham (Northwestern University)
The outstanding optical, semiconducting and mechanical properties of titanium and chromium oxides have made them useful for many applications. Ion-assisted, high-rate, reactive, pulsed-dc magnetron sputtering is used to deposit the nanometer-scale multilayered oxide films. RF substrate bias was applied to induce ion bombardment to modify the structure of the growing films. Various characterization methods such as ellipsometry, Raman spectroscopy, UV-Visible spectroscopy, XRD, SEM, TEM, hardness and stress measurement techniques were used to measure the crystallinity, morphology, optical and mechanical properties of the films. Under suitable conditions, the sputtered metal atoms will react with the partial pressure of supplied oxygen to form stoichiometric and transparent oxide films. Crystalline Cr2O3 and anatase TiO2 were deposited as single-layer films, and as Cr/Ti-oxide multilayers. When the period of the multilayer was below ~7 nm, the multilayer structure was not observed, and Cr-Ti-O alloy films appeared to be formed instead. The energy gaps for Cr2O3, Cr-Ti-O and TiO2 films are ~2.1, ~2.5 and ~3.2 eV, respectively. The Cr2O3 and Cr-Ti-O films possess high hardness, over 20 GPa. The effects of substrate bias and multilayer period on the structure and properties of the oxides will be discussed.
TF-WeP-27 Absorption Properties of Thin Film Getter for Impurity Gas in High Vacuum Environment
K.C. Kim, Y.J. Yoon (Yonsei University, Korea); S.M. Lee (Kangwon National University, Korea); H.K. Baik (Yonsei University, korea)
Recently, getters have been widely used in vacuum microelectronics requiring high vacuum. The conventional bulk getters required high temperature activation processes for proper action as a getter, where the device was contaminated by outgassing of different gases, e.g., H2O, O2, H2O, CO, CO2. The conventional bulk getter itself was not suitable for the microelectronic devices due to size limitations. As a resolution to such problems, thin film getters, small enough for microelectronic applications, with excellent resonant gas absorption characteristic and requiring no activation, have been fabricated and analyzed. In this research, Zr was used as a getter material, and Ni and Pt were used for catalysis and protection of the getter against oxidation. Thin film getters were fabricated by the introduction of Pt and Ni layers, which exhibited excellent absorption characteristic of impurity gases in high vacuum, without high temperature activation. Realization of thin film getters, by solution of the size limitations of the bulk getters, enabled getter to apply to the microelectronic devices.
TF-WeP-28 Control of Crystalline Evolution in Aluminum Nitride Thin Films Deposited by Magnetron Sputtering
V.M. Pantojas, E. García, N.R. Ramos, W. Otaño (University of Puerto Rico at Cayey)
There is considerable interest in the growth of Aluminum Nitride (AlN) thin films for the fabrication of mechanical, optical and surface acoustic wave devices. The properties of polycrystalline AlN thin films depend strongly on the crystallographic orientation and texture of the grains and it is important to have an adequate control of the crystalline characteristics in order to achieve good performance for specific properties. Thin AlN films were prepared using magnetron sputtering. The relationship between the sputtering deposition process parameters and the coating characteristics, and properties, were investigated using Response Surface Design methodology. The deposition pressure, gas composition and substrate temperature were used as factor levels to characterize the response of the most important characteristics, crystallinity and stoichiometry. The evolution of crystal orientation in AlN thin films was analyzed using an x-ray diffraction system with a general area detector. The two dimensional, position sensitive area detector allows for fast collection of the x-ray scattering data and provides the unique capability of directly imaging the orientation of the diffraction pattern. The degree of crystal orientation of the AlN samples varied from completely polycrystalline to highly oriented depending on the deposition conditions. In order to quantify the degree of crystallinity, an integration method was used. The three main peaks of hexagonal AlN were integrated along a rectangular slit at the center of the detector to quantify the degree of in-plane orientation and a texture coefficient was calculated for each crystal orientation.
TF-WeP-29 Synthesis and Electrochemical Characteristics of Boron Carbon Nitride Films Deposited by Magnetron Sputtering
E. Byon (Korea Institute of Machinery and Materials); M. Son (Samgsung Techwin Co. Ltd, Korea); N. Hara, K. Sugomoto (Tohoku University, Japan); S.-K. Kwon (Korea Institute of Machinery and Materials)
Boron-Carbon-Nitrogen (BCN) system is an attractive ternary material since it has not only an extremely high hardness but also a number of other prominent characteristics such as chemical inertness, and low thermal expansion. In this paper, we prepared ternary BCxNy films on Si by r.f. magnetron sputtering of boron and graphite targets. With increasing of the graphite target power, FTIR and XPS showed that the structure of the BCxNy films was changed from the cubic BCN to the hexagonal BCN. The corrosion resistance of BCN thin films in aqueous solution was investigated. BCN films with different composition were deposited on a platinum plate in the thickness range of 150-280 nm. In order to understand effect of pH of solutions on the corrosion resistance of the film, BC2.4N samples were immersed in 1 M HCl, NaCl and NaOH at 298 K respectively. BCN films with different carbon content were also immersed at 1 M NaOH solutions to investigate effect of chemical composition on the corrosion resistance. The thinning rate of the film thickness was measured at various potentials in 1 M NaCl by using in-situ ellipsometry. From the results, the corrosion resistance of B1.0C2.4N1.0 films were NaOH>NaCl>HCl in that order. With increasing carbon content in BCN films, the corrosion resistance of BCN films is enhanced. The lowest corrosion rate was obtained for B1.0C(3.2-4.4)N1.2 films. The anodic polarization in 1 M H2SO4, 1 M HCl and 1 M NaCl showed similar behavior with Pt substrate. Hydrogen overvoltage in the cathodic region was higher than Pt substrate. No active dissolution of the films was occurred in the range of –0.2 V to 0.1 V of potential in 1 M NaOH, but the film thickness reduced with increasing potential. The current density of the films is directly proportional to the dissolution rate of B1.0C2.4N1.0 film over 0.2 V of potential.
TF-WeP-30 Mechanical Properties of Ultranano-, Nano- and Poly-crystalline Diamond Films and Membranes
A. Moon, T.A. Grotjohn (Michigan State University and Fraunhofer Center for Coatings and Laser Applications); M.K. Yaran, T. Schuelke (Fraunhofer Center for Coatings and Laser Applications); D.K. Reinhard, J. Asmussen (Michigan State University and Fraunhofer Center for Coatings and Laser Applications)
The mechanical properties of polycrystalline materials can vary substantially depending on the material’s grain size, surface morphology and grain boundary properties. In the case of diamond films the crystal grain size and surface morphology can range from ultrananocrystalline (grain sizes of less than 10nm) to nanocrystalline (grain sizes of 10’s nm) to polycrystalline (grain sizes of 100’s nm to microns). The Young’s modulus of films and the deflection characteristics and fracture strength of membranes are characterized in this study for a range of diamond films/membranes deposited with grain sizes ranging from ultrananocrystalline to polycrystalline. The Young’s modulus of diamond films with thickness from 0.1 µmm to 2 µmm is measured on the substrate by using a pulsed laser to generate surface acoustic wave which are evaluated by Fourier analysis. The Young’s modulus and membrane deflection characteristics are characterized as function of the thickness of the film, film nucleation/seeding technique, grain size, surface morphology, and synthesis chemistry. Measured Young’s modulus values were as high as 900-1000 GPa.
TF-WeP-31 Improvement of Corrosion Resistance of Transparent Conductive Multi-layer Coatings Consisting of Silver Layers and Transparent Metal Oxide Layers
K. Koike, F. Yamazaki, T. Okamura, S. Fukuda (Mitsui Chemicals, Inc., Japan)
We have investigated transparent conductive multi-layer sputter coatings consisting of silver (Ag) layers and transparent metal oxide layers. We could expect versatile applications for the multi-layer sputter coatings, such as a transparent electrode and a transparent electromagnetic waves shield. The multi-layer sputter coatings including the silver layer is very sensitive to surrounding atmosphere. For example we would find discolored points on the multi-layer sputter coatings, possibly caused by a silver atom migration in silver layers, after an exposure test. In our investigation, we modified a top surface of the multi-layer sputter coatings with transition metals to improve a corrosion resistance of the multi-layer coatings. Specifically we deposited the transition metals with 0.5 to 2nm thickness on the top surface of the multi-layer coatings by sputtering. We chose indium tin oxide (ITO) as the transparent metal oxide. We applied the multi-layer sputter coatings of 7 layers formed on a polyethylene terephthalate (PET) film substrate. A cross-sectional structure of the film with the multi-layer coatings is PET film / ITO / Ag /ITO / Ag / ITO / Ag / ITO. We evaluated the corrosion resistance by a salt water immerse test. In the test we immerse the film with multi-layer coatings into salt water, and then evaluate an appearance, a transmittance and an electrical resistance of the multi-layer coatings. We investigated several transition metals as the modifying material, and we found that titanium and tantalum drastically improved a resistance of the multi-layer coatings against the salt water without a significant decline of a transmittance. We also investigated a relation between an elapsed time after the depositing the modifying materials and the resistance against the salt water. Further we investigated effects of a heat treatment and an oxide plasma treatment on the resistance against the salt water.
TF-WeP-32 Anisotropic Film Growth during PVD on Substrates in Planetary Motion
G.C.A.M. Janssen (Delft University, The Netherlands); J.-D. Kamminga (NIMR, The Netherlands); P.F.A Alkemade (Delft University, The Netherlands); S.Yu. Grachev (NIMR, The Netherlands)
Chromium films were deposited in an industrial PVD machine, designed to coat 3-D objects. The machine is equipped with a substrate table that allows a planetary motion of the substrates in front of the target. Films with thickness ranging from 30 nm to 10 µm were deposited on Si wafers. All films are under tensile stress. The thinner films exhibit a 110 fiber texture. The curvature of the substrates with the thinner films is radially symmetric. Therefore the stress is radially symmetric. The thicker films exhibit an in plane "single crystal" type texture. For these films the stress is no longer radially symmetric. The curvature of the wafers with the thicker films is distinctly different in the plane of planetary motion and perpendicular to that plane. A SEM top view of the thicker films shows an anisotropy in grain shape. We discuss the coinciding occurrence of anisotropy in stress and microstructure.
TF-WeP-33 Combined RF Magnetron Sputtering and Ion Implantation for the Synthesis of Silicon Carbonitride Thin Films
M. Bruns, H. Lutz (Forschungszentrum Karlsruhe GmbH, Germany); M. Rudolphi, H. Baumann (Universitaet Frankfurt, Germany)

Silicon Carbonitride thin films have been the subject of great interest in recent years due to the expected improvement of surface properties for a lot of applications. Various precursor based techniques have been employed to synthezise the pure materials. However, most of these efforts result in amorphous films or tiny crystals embedded in amorphous matrices of deficient nitrogen content and considerable hydrogen and oxygen impurities, respectively. In contrast, RF magnetron sputtering as well as ion implantation have been proven suitable means to achieve high-purity ternary systems of up to 57 at.% nitrogen content, e.g. Si2CN4. However, carbon rich compounds (i.e. SiC2N4) are not attainable on this way. For that the combination of both means seams to be a promising approach. Defined and reproducible Si/C ratios within the films can be obtained using co-sputter targets of different Si/C areas. In a second step surface modification by high fluence implantation of 15N ions into these Si-C films result in suitable nitrogen content up to the theoretical amount. Severalfold implantation at different energies calculated from Monte-Carlo-simulations enable us to synthesize layers with homogeneous element depth-distribution up to the surface.

The chemical composition of the Si-C-N films was characterized by means of X-ray photoelectron spectroscopy (XPS). In addition, Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy (FTIR), and Raman spectroscopy were used to achieve a comprehensive characterization. For quantification XPS and AES data were calibrated with absolute concentration values from non-Rutherford backscattering spectrometry (n-RBS). Resonant nuclear reaction analysis (NRRA) provides non-destructive depth profiles of 15N. The morphology after subsequent annealing was studied by means of X-ray diffraction (XRD) and transmission electron microscopy (TEM).

Time Period WeP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2003 Schedule