AVS1999 Session PS-MoP: Poster Session

Monday, October 25, 1999 5:30 PM in Room 4C

Monday Afternoon

Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule

PS-MoP-1 A-Si:H Film Deposition Using Plasma CVD with Suppression of Cluster-Size Particles
M. Shiratani, S. Maeda, Y. Matsuoka, K. Tanaka, K. Koga, Y. Watanabe (Kyushu University, Japan)
In order to deposite high quality a-Si:H at a high rate using SiH4 RF discharges, we have developed methods for suppressing cluster-size particles, which are believed to degrade film quality. We have examined effects of pulse modulation of discharges, heating of the GND electrode as well as H2 dilution on growth of particles by using two novel in situ methods1,2 for determination of size and density of cluster-size particles below 10 nm in size. Even under so-called device qualtiy conditions, particles have begun to be observed around the plasma/sheath boundary near the RF electrode and mainly grow in the same region. They grow at a growth rate of 10 nm/s, much higher than a typical film deposition rate of 0.1 nm/s, and their density is above 1010 cm-3. We also have studied effects of GND electrode heating on suppression of particles in modulated discharges. While the modulation without heating the GND electrode brings about suppression of particle growth, the modulation with heating the GND electrode to 200C realizes deposition without cluster-size particles during more than 1 hour even for a relatively high duty cycle of 63% (ton=5 ms). This notable suppression of particle growth with the heating can be explained by a model taking account of thermophoretic force exerted on particles. High H2 dilution (>80%) has been revealed to be effective in suppressing growth of cluster-size particles especially around the plasma/sheath boundary near the RF electrode. Correlation between particle density and film quality will be presented.


1
1M. Shiratani and Y. Watanabe., Rev. Laser Eng., 26 ( 1998) 449.
2T. Fukuzawa, et al., J. Appl. Phys., 80 (1996) 3202.

PS-MoP-2 Surface Reactivities of Radicals in Fluorine Containing Plasmas
C.I. Butoi, E.R. Fisher (Colorado State University)
Fluorocarbon plasmas have a variety of applications such as generation of interlevel dielectric films and etching in the microelectronics industry, as well as generation of polymeric biocompatible materials. Understanding deposition and etching mechanisms is a difficult task given the complexity of plasma systems. Using the imaging of radicals interacting with surfaces (IRIS) technique, we are able to investigate the behavior of one plasma species at a time. Hexafluoropropylene oxide (HFPO) has shown great promise for generating high CF2 content films, and CF2 radicals have been postulated as the main deposition precursors. Thus, studying the reactivity of CF2 radicals impinging on surfaces is of the utmost importance in understanding film formation mechanisms. In the IRIS experiments, CF2 spatially resolved LIF signals are collected and analyzed using a geometrical simulation of the experiment. Fitting the experimental data to simulated fits generates scatter values, S, for CF2 radicals. S values less than 1 indicate loss of CF2 moieties at the substrate surface, whereas S > 1 suggests surface generation of CF2. In CW HFPO plasmas, S values of 1 were calculated for silicon based and polymeric substrates regardless of the rf power employed. Pulsed plasmas were also used and duty cycles were varied. A decrease in the CF2 scatter coefficients was observed for both substrates tested at all duty cycles. Also, the CF2 S values were determined when a grounded mesh was placed in the path of the molecular beam in order to probe the possible role of ions generated in the plasma. Decreases in S were observed under these conditions. To further investigate ion effects, silicon substrates were biased at +200V, which resulted in S 0.5-0.6. Additional results for plasma etching systems will be presented.
PS-MoP-3 Studies on SiFx Radicals in Fluorosilane Plasmas Used for Silicon Etching and Deposition
K.L. Williams, C.I. Butoi, E.R. Fisher (Colorado State University)
Fluorosilane plasmas are used in the microelectronics industry for etching of Si/SiO2 and for deposition of fluorinated silicon-based materials (a-Si:H,F). Currently, fluorinated a-Si films are used in the fabrication of solar cells, photoreceptors, and thin film transistors. In spite of such high quality film production, there is still controversy over the mechanistic aspects of etching and deposition processes. Moreover, fundamental chemical information on plasma species such as SiFx radicals is not available. Here, the surface reactivity of SiF2 radicals during plasma processing of a silicon substrate using the imaging of radicals interacting with surfaces (IRIS) technique is reported. The molecular beam sources are 100% SiF4, 90/10 SiF4/H2, and 50/50 SiF4/H2 plasmas. Preliminary results show scatter ratios of 2.36 ± 0.08, 2.78 ± 0.08, 2.79 ± 0.13, and 3.08 ± 3.08 ± 0.11 for 30 sccm, 80 W and 170 W, and 15 sccm, 80 W and 170 W, SiF4 plasmas, respectively. Scatter ratios >1 indicate SiF2 is generated at the surface. Significant decreases in SiF2 scatter are observed for SiF2/H2 plasmas. With these plasmas, SiF2 scatter ratios are 1.31 ± 0.08 (10% H2, 80 W), 1.48 ± 0.10 (10% H2, 170 W), and 2.44 ± 0.13 (50% H2, 170 W). These results are correlated with data from surface characterization by Fourier transform infrared spectroscopy (FTIR) and profilometry of films deposited under various plasma parameters (applied rf power, pressure, and % H2 addition), as well as optical emission spectra for the fluorosilane plasmas studied.
PS-MoP-4 Boron Effects on SBT Etching with Ar/Cl2/BCl3 Plasma
J.W. Seo (Chung Ang University, Korea); W.J. Lee, B.G. Yu (ETRI, Korea); K.H. Kwon (Hanseo University, Korea); G.Y. Yeom (Sung-Kyun-Kwan University, Korea); C.I. Kim, E.G. Chang (Chung-Ang University, Korea)
Among the ferroelectric thin films that have been widely investigated for FRAM(ferroelectric random access memory) applications, SrBi2Ta2O9 thin film is appropriate to memory capacitor materials for its excellent fatigue endurance. However, very few studies on etch properties of SBT thin film have been reported although dry etching is an area that demands a great deal of attention in the very large scale integration of ferroelectric thin film capacitors for FRAM applications. In this study, inductively coupled plasma(ICP) etcher was used for high density plasma etching of SrBi2Ta2O9/Pt/Ti/SiO2/Si with Ar/Cl2/BCl3 gas chemistries. SBT thin film was prepared with sol-gel method. Etch properties, such as etch rate, selectivity and profile, were measured according to split process parameters including RF power, bias voltage, chamber pressure and gas mixing ratio. Chemical reaction and residue of etched surface was analyzed with XPS(X-ray photoelectron spectroscopy) and SIMS(Secondary ion mass spectrometry). Changes of chemical composition in the chamber was analyzed with OES(Optical emission spectroscopy). As mole fraction of BCl3 varied, boron effects with respect to residue, selectivity and etched profile was investigated. SBT was dominantly etched by Ar bombardment. Selectivity to photoresist(PR) or SiO2 increased as decreasing mole fraction of Ar gas. Additive BCl3 enhanced selectivity, profile and relative etch rate. SEM(Scanning electron microscopy) was used for examination of patterned SBT thin film. Change of stoichiometry on the film surface is discussed by comparing with OES analysis.
PS-MoP-5 Characterization of Inductively Coupled Discharges in C2F6 and CHF3
G.A. Hebner (Sandia National Laboratories)
The chloro-fluorocarbon gases C2F6 and CHF3 are used in a number of microelectronic plasma processing systems for both oxide etch and surface passivation. To provide data on the fundamental plasma characteristics as well as plasma species, microwave interferometry has been used to measure the line integrated electron density, photodetachment spectroscopy was used to measure the negative ion density, and laser induced fluorescence (LIF) was used to measure the spatially resolved CF density. The measurements were performed in a GEC rf reference chamber with an inductive coil plasma source and rf wafer bias. Photodetachment measurements of the negative ions as a function of wavelength are consistent with the dominant negative ion being F-. Different trends between the negative ion density and the electron density show that the negative ion precursor species density depends on power, pressure and rf wafer bias, but not on the feed gas. By pulse modulating the plasma power, negative ion - positive ion recombination rates have been determined. Spatially resolved LIF measurements show the CF density peaking in the center of the C2F6 discharge but a more uniform radial distribution in CHF3. CF density scaling with power, pressure, rf bias and surface material will be shown. This work was performed at Sandia National Laboratories and supported by SEMATECH and the United States Department of Energy (DE-AC04-94AL85000).
PS-MoP-7 Antennas for Large-Area, Inductively-Coupled Plasmas
M.M. Patterson, A.E. Wendt (University of Wisconsin, Madison)
Uniform plasma processing for large and noncircular substrates motivates the examination of design alternatives to the standard spiral antenna for inductively coupled plasmas. In addition to being unsuited for rectangular substrates, scaling of the spiral design suffers from several complications including increased voltage requirements1 (and therefore increased capacitive coupling). In addition, standing wave current variations along the length of the antenna exacerbate azimuthal nonuniformities along the increased spiral length.2 Therefore, we explore the feasibility of low inductance, scalable alternatives to the spiral design. We have constructed several rectangular antennas, 20 cm by 30 cm, from straight conductor segments, including serpentine and ladder shaped configurations. In the ladder configuration, neighboring parallel antenna segments carry currents in the same direction, while in the serpentine configuration, neighboring segments carry currents in opposite directions. Langmuir probe measurements of the spatial profiles of plasma properties show significant differences in the magnitude and uniformity of plasma density in argon discharges produced by these antennas. We will also present results from a circular serpentine antenna. Finally, as a means of understanding the differences between the antenna configurations, we have created and will present a simple model of the interference between the electromagnetic fields of neighboring parallel antenna segments.


1Jaeger EF, Berry LA, et al, Physics of Plasmas 2(6), 2597 (1995).
2Kushner MJ, et al, J. Appl. Phys. 80(3), 1337 (1996).

PS-MoP-8 Optical Emission and Mass Spectroscopic Studies of Reactive Species in an ICP Based Neutral Source for Ashing Processes
X.M. Tang, D.M. Manos (College of William and Mary)
In this paper, we report measurements of particle composition and flux in downstream neutral cleaning processes in a reflection-neutral source, with a comparison to pulsed plasma processes. For Ar, O2, and CF4 mixtures, species in the both the plasma source and neutral process region are characterized by electrostatic probes, mass spectrometry, and optical emission; in the downstream region, calorimeter (energy) probes, and a micro-torsion (momentum) balance are used to discriminate the ion, photon, and neutral fluxes. The rf power, gas composition, reflector bias, discharge pressure have been varied in this study. The results show that in the downstream region, for Ar + O 2 plasmas, neutral O atom density and flux increase with increasing power from 100 to 500 watts, and also increase with increasing pressure. Ion species, including atomic and molecular ions, decrease in the downstream region as power and pressure increase over the same range. Both optical emission and mass spectral results show that the ratio of O/Ar increases sharply as the rf power increases above 200 watts in our source. In this source, neutral translational energy is varied by variation of the bias on the reflector plate. A concern in such a source has been that the variation of reflector bias may alter the species ratios in the source. The results of this study show that this is not the case. Using the combined diagnostic set, we have been able to differentiate the energy flux contributed by neutrals, photons, and charged particles striking the substrates. Our quantitative measurements indicate that the neutral flux is somewhat higher than predicted by our earlier simulations. This paper reports refinements to the model1 required to account for these new measurements.


1 C.A. Nichols and D. M. Manos, J. Appl. Physics, vol.80 No.5 P2463.sept. 1996.

PS-MoP-9 Estimation of Surface Kinetic Parameters and 2D Simulation of InP Pattern Features during CH4-H2 Plasma Etching
A. Rhallabi, L. Houlet, G. Turban (University of Nantes, France)
Dry etching pattern-transfer of III-V materials from resist mask is an essential process stage in the fabrication of optical devices because anisotropy and reproducibility are more ensured than that in wet etching as feature dimensions decrease. In this study, 2D model of InP etched surface profile under CH4-H2 plasma is developed. In order to move the InP etched surface, an improved string algorithm is applied. The surface is approximated by a series of nodes joined by straight line segments which define the surface elements or string. During the displacement of the etched surface, time step is controlled automatically to ensure a good stability of the surface profile. Langmuir adsorption and re-remission of radicals, spontaneous chemical etching and ion sputtering on both adsorbed and not adsorbed surface fraction are considered. The main difficulty to predict the etched surface features is the lack of the kinetic surface parameters. In this study, the etching kinetic parameters (sticking coefficient, etch coefficients on both adsorbed and no adsorbed surface fractions) of the InP surface are proposed by comparing the experimental and simulated etching rate curves as a function of the incident CH3 flux. Least square optimization method is applied to deduce such estimated surface parameters. The simulation results show the effect of the plasma and surface kinetic parameters on the topography of the microscopic etched profiles.
PS-MoP-10 Physical Characterization of the Etching of Low-k Hydrogen SilsesQuioxanes (HSQ) Dielectrics under Medium and High Density Plasma Conditions
C.H. Low, H. Cong, P. Yelehanka (Chartered Semiconductor Manufacturing Limited, Singapore)
The interconnect technology ventures into the development of low-k inter-metal dielectrics integration, arising from the need to reduce interconnect delay.1 The evolution of new low-k dielectric materials posts challenges to the conventional oxide etching processes.2 Hydrogen SilsesQuioxanes (HSQ)-based Flowable-Oxide (FOx), similar in composition as conventional oxide while offering low k values of 2.9 - 3.0, is used as the low-k IMD materials in our studies. The direct-on-metal (DOM) approach is employed for the integration of FOx as IMD layer for sub-0.25 micron application.3,4 The FOx layer is further capped with a thick PETEOS film, preventing it from degradation when subjected to subsequent high temperature processing steps. The etching of FOx integrated IMD stack is carried out using both TEL 85 DRM medium density plasma etcher and LAM TCP 9100 high density plasma etcher with different fluorocarbon etch chemistry. The physical characterization of the etching of FOx IMD stack under both conditions is demonstrated. In both cases, the FOx IMD vias are successfully opened with reasonably straight profiles. While high density plasma etching posesses in-situ PR strip capability for process simplification, it is also shown to induce more Si-H loss in the FOx layer. On the other hand, less damage is introduced to the FOx layer when etching under medium density plasma condition. Etch rate studies of FOx film cured at different temperature and duration as well as the film properties changes upon etching are also investigated. This is for better understanding of the etch process capability when subjected to different film curing conditions.


1L. Peters, Semicon. Int'l, p.64, Sep. 1998.
2T.E.F.M. Standaert et.al., Mat. Res. Soc. Symp. Proc., p.265, vol.511, 1998.
3B.T. Ahlburn et.al., Conf. Proc. ULSI XI, p.67, 1996.
4Technical notes from Dow Corning Corp..

PS-MoP-11 Modeling and Experimental Characterization of a Ti/Nitrogen/Ar Ionized Physical Vapor Deposition Tool
K. Tao, D. Mao, J. Hopwood (Northeastern University)
The deposition of adhesion layers, diffusion barriers, and seed layers into high-aspect-ratio features is a critical technology for next-generation integrated circuit interconnects. One method of directionally-depositing materials is ionized physical vapor deposition (IPVD). Sputtered atoms are ionized in IPVD by a high-density plasma and, subsequently, collimated toward the wafer by the plasma sheath potential. Although considerable work has been reported on the deposition of metal films using argon as the working gas, very little is known about reactive sputter deposition using IPVD. The formation of high quality metal-nitrides that exhibit high conformality is possible using a working gas of nitrogen and Ar. The Ti-Ar-N2 plasma, for example, is used for the deposition of TiN. Reactive IPVD is being studied both experimentally and through analytical plasma modeling. The gas-phase densities of ionized, excited, and dissociated species of Ti, Ar, and nitrogen are predicted and compared with experimental measurements that include mass spectrometry, optical emission spectroscopy, and Langmuir probes. The dissociation of nitrogen is used to benchmark the model. Both the model and measurement show that the dissociation fraction lies between 5% and 20% and decreases with increasing plasma pressure. The effect of nitrogen on the degree of ionization of sputtered titanium, and therefore the bottom-coverage of high aspect ratio features, will also be discussed.
PS-MoP-12 Influence of Dry Etching Gas Chemistry on the Formation of Line Edge Roughness of Patterned Oxide Hard Mask
A.S.-Y. Li (State University of New York at Albany)
As the pattern feature size shrinks to sub-quarter micron, line edge roughness (LER) of hard mask becomes critical in line-width control for pattern transfer. The patterns with LER affect both device characteristics and electrical properties. Contributions to LER of resist have been carefully studied. However, understanding of dependence of LER on dry etching gas chemistry is still in the very early stage. LER of oxide hard mask has been observed after being patterned with deep UV (DUV) resist on a magnetically enhanced reactive ion etching tool, even though no LER was seen on DUV resist before hard mask patterning. Results show that the roughness depends very much on etching gas chemistry. Introduction of O2 into process will cause very serious edge roughness, while CF4 gas can reduce its formation on the line edge. Experiments also show that LER is first formed on the side wall of the resist and bottom anti-reflective coating layer, then it is transferred onto hard mask. Extending etching time will increase LER. Different etching behavior of gas chemistry has also been observed on resist. Top-view from critical dimension scanning electron microscopy shows a rough surface formed on resist after being etched using plasma containing either O2 or Ar, but a much rougher surface has been seen on that from O2 plasma. On the other hand, a combination of both Ar and O2 plasma produces a very unform and smooth resist surface. Different etching behavior of gas chemistry, O2, Ar, and CF4 in hard mask opening is very helpful for us to understand the formation of the line edge roughness.
PS-MoP-13 The Effect of Electrode Gap on CF2 Distribution and Electrical Parameters in Fluorocarbon Plasmas
K.L. Steffens, M.A. Sobolewski (National Institute of Standards and Technology)
Fluorocarbon plasmas are widely used by the semiconductor industry for etching and in situ cleaning of PECVD chambers. Previous studies in parallel-plate reactors have indicated that reactive species density distributions, precursor destruction efficiencies, plasma optical emission, and cleaning rates are correlated to the rf current measured at the upper, grounded electrode, Ige. In these studies, Ige varied with changing pressure or was directly controlled by adjusting the impedance between the upper electrode and ground. The electrode gap is an additional parameter which can be varied to optimize the performance of these plasmas. In this study, performed on O2/CF4 chamber-cleaning plasmas in the capacitively-coupled Gaseous Electronics Conference Reference Cell, we investigated the correlations between electrode gap, electrical parameters, and the spatial distribution of the reactive CF2 radical. Electrode gaps ranging from 0.5 cm to 2.25 cm were studied at pressures from 0.1 to 1.0 Torr. The 2-D density distribution of the reactive CF2 radical was measured by planar laser-induced fluorescence (PLIF), and the regions where reactive species were generated were determined using spatially-resolved, broadband optical emission. The axial and radial uniformity and intensity of the emission and the CF2 PLIF depended on both pressure and gap. The pressure at which the maximum radial uniformity in the CF2 PLIF was observed correlated well with the maximum in Ige but not with the minimum in plasma impedance. Measurements of rf current at the grounded electrode could be used to optimize the spatial distribution of reactive chemical species in reactors with differing electrode gaps, aiding in the optimization of chamber-cleaning plasmas and other fluorocarbon plasmas.
PS-MoP-14 CFx Radical and Etch Product Concentrations in Fluorocarbon Plasmas from Tunable Infrared Diode Laser Spectroscopy
Y. Men, I.C. Abraham, R.C. Woods (University of Wisconsin, Madison)
A sensitive diode laser spectrometer using multi-pass Herriot cells was employed in both an ECR etcher (30 passes with approximately 30 cm plasma depth) and in a 10 cm diameter, 1 m long hollow cathode DC discharge (46 passes). An IR diode laser operating near 1260 cm-1 was used to study the CFx (x = 1,2,3) radicals. Both CF and CF2 were easily identified in a CF3H ECR plasma, and their absolute concentrations were determined. Other fluorocarbon plasmas, e.g., C2F6 and C4F8, have also been investigated. The CFx radical concentration dependences on plasma parameters, e.g., input power and neutral pressure, and on the partial pressure of added gases have been studied. To simulate actual etching conditions, radical concentrations over different wafer surfaces and at various bias powers have been measured. The CFx concentrations with different reactant gases in the ECR etcher have been compared to those in the hollow cathode discharge. A diode laser operating in the 800-900 cm-1 region is being used for similar investigations of SiFx etch products.
PS-MoP-15 Comparison of Plasma Density Measurements in ICP and Helicon Discharges using Langmuir probe, Plasma Oscillation Probe and Interferometry Techniques
W. Zawalski (Hiden Analytical Ltd.); J.D. Evans (University of California, Los Angeles)
A comparison study of the application of various probe theories, including the so-called orbital motion limited1 and ABR2 theories, in the interpretation of Langmuir probe I-V characteristics is performed. Experimental data for the comparison is obtained in both an inductively coupled plasma (ICP) and a low-field helicon plasma source (HPS), over a wide range of parameters of interest to the plasma processing community.3 Measurements of Ne and Ni from the Hiden Electrostatic Plasma Probe (ESP) characteristics and ESPion software are compared to "known" values of Ne obtained via microwave interferometry and the plasma oscillation probe (POP) technique,4,5 in regimes including those where the probe theories yield different results. Closely spaced multiple peaks in the spectra of the POP are observed in some cases at higher RF input powers, possibly due to RF modulation of the source plasma density, leading to experimental uncertainty in Ne values thus obtained. Broadband low frequency electrostatic emissions and substantial peak broadening are observed when the beam-plasma instability excited by the probe is overdriven into the nonlinear regime. Other considerations for the applicability of the POP method will also be discussed.


1 J.G. Laframboise, Univ. Toronto Inst. Aerospace Studies Rept. 100 (1966).
2 J.E. Allen, R.L.F. Boyd, and P. Reynolds, Proc. Phys. Soc. B 70, 297 (1957).
3 Np ~ 1010-1012 cm-3, Te ~ 2-4 eV, Prf ~ 1 kW, Po ~ 1-10 mTorr.
4 T. Shirakawa and H. Sugai, Japan. J. Appl. Phys. 32, 5129 (1993).
5 A. Schwabedissen et al., Plasma Sources Sci. Technol. 7, 119 (1998).

PS-MoP-16 The Characteristics of PZT Thin Film by Dry Etching as a Variation of Substrate Temperature
T.H. An, C.I. Kim, E.G. Chang (Chung-Ang University, Korea)
The Lead Zirconate Titanate PbZrxTi1-xO3 (PZT) ferroelectric thin films have received a great attention for the application on nonvolatile memory, Infrared sensor, electro-optical device and microelectromechnical system device etc. In order to accomplish the integration of these device, the etching process for both PZT film and electrode material must be developed. In particular, much research of PZT ferroelectric films as a dielectric material for storage capacitors of highly integrated memory devices has been carried out since this films have a high dielectric constant and remanent polarization. However, there has been little study regarding the etching mechanisms of PZT material as a function of substrate temperature. Dry etching of PZT thin film was studied to examine the etching characteristics as a variations of substrate temperature. PZT films were deposited on Pt/Ti/SiO2/Si substrates by sol-gel process. PZT thin films were etched with Cl2/BCl3/Ar gas combination in an inductively coupled plasma (ICP) by varying substrate temperature. Etching properties were investigated in terms of etch rate, etch selectivity, etch damage. To understand etching mechanism, Langmuir probe and Optical emission spectroscopy (OES) analysis were utilized for plasma diagnostic, also X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and secondary ion mass spectrometry (SIMS) analysis for film composition were utilized.
PS-MoP-17 The Roles of N2 Gas in Etching of Platinum by Inductively Coupled Ar/Cl2/N2 Plasmas
J.H. Ryu, N.H. Kim, C.I. Kim, E.G. Chang (Chung-Ang University, Korea)
Recently, much efforts has been expected on etching of platinum film, which is the candidate of electrode material in the capacitor structure for future DRAM and ferroelectric RAM (FRAM). One of the most critical problem in etching of platinum was generally known that the etch slope was gradual. Therefore, the addition of N2 gas to the Ar/Cl2 gas mixture, which has been proposed the optimized etching gas combination for etching of platinum in our previous article,1, was performed. The selectivity of platinum film to oxide as an etch mask was increased with the addition of N2 gas, and the steeper etch slope could be obtained. We interpreted these phenomena as the results of the blocking layer on the oxide mask shaped N-O and Si-N. And it was confirmed by TEM (transmission electron microscopy) and XPS (X-ray photoelectron spectroscopy) analysis. Moreover, it could be obtained the higher etch rate of platinum film. It was inspected that the more volatile compounds formed Pt-N were produced and the ion bombardment effects was increased. QMS (quadrupole mass spectrometry) and Langmuir probe were employed for each confirmation.


1 K. H. Kwon, C. I. Kim, S. J. Yun and G. Y. Yeom. "The Etching Properties of Pt Thin Films by Inductively Coupled Plasma", J. Vac. Sci. Technol. A 16(5), pp. 2772-2776, 1998.

PS-MoP-18 Neutral Depletion and Transport Mechanisms in Large-Area High Density Plasma Sources
S.M. Yun, K. Taylor, G.R. Tynan (University of California, San Diego)
Plasma uniformity has been recognized as a significant parameter in large sized high density plasma processing tools. In this paper we show experimental and modeling results which indicate that significant neutral uniformity variations can also occur in high density plasma processing tools. The experiments are carried out in both inductively coupled plasma (ICP) and helicon plasma sources. The spatial distribution of reactive neutrals is measured using spatially resolved optical emission spectroscopy combined with Langmuir probe measurements of plasma density. The degree of on-axis neutral depletion is found to be determined by plasma density, neutral fill pressure, and neutral dissociation fraction. The observations can be explained by the "plasma pumping" effect, wherein electron impact ionization of neutral particles is followed by their rapid removal from the plasma by the presheath electric field. A one-dimensional neutral diffusion model that incorporates this mechanism provides reasonable agreement with our results. This net loss of neutral particles can result in a large (~50%) neutral density variation across 300mm wafers. The importance of neutral-surface interactions (i.e. the wall or wafer can be an effective source or sink of neutrals) is also examined.
PS-MoP-19 The Study on The Method of Plasma-Generated-Polymer Attachment to PR Side Wall for Forming Smaller Contact Hole
K.I. Seo, J.S. Hwang, U.I. Chung, K.W. Kang, M.-Y. Lee (Samsung Electronic Co.Ltd., Korea)
Resent PR ( Photo Resist ) patterning limit by KrF Deep UV ( Ultra Violet ) source is about 0.25~0.27µmm for contact pattern and 0.20~0.22µmm for line & space pattern. For patterning more small pattern size, line & space, new light sources such as ArF, X-ray, E-beam are being tested. But they are not adapted in mass fabrication yet. In this experiment, in order to overcome the patterning limit of photo process, we developed polymer attachment process to PR side wall for forming 0.15µmm size small contact. We tried to attach a uniform layer of polymer to PR side wall by generating various polymers in various gas plasmas such as CF4/CHF3/Ar, He/HBr, Cl2/HBr. We found that C-Clx-Bry polymer, which has masking ability in the oxide etch process, was attached to PR side wall effectively in Cl2/HBr gas plasma of the RIE ( Reactive Ion Etching ) type etcher which had a relatively high process pressure and a low density plasma. Based on the XPS ( X-ray Photon Spectroscopy ) result, the shape of the polymer attached PR, the dependency of the amount of the attached polymer on the contact size and the fact that polymer attachment process required PR loss, we proposed the mechanism of the polymer attachment process. It was observed the oxide etch rate was enhanced about 30% in contact hole size of 0.30µmm, and RIE-Lag was reduced in contact size range of 0.25~0.50µmm after the polymer attachment process, which needs further studies. We applied polymer attachment process to a real MDL ( Merged DRAM with Logic ) device, and obtained contact size of 0.15µmm by SEM ( Scanning Electron Microscopy ) measurement which was smaller than the contact size of normal process by approximately 50 nm as well as good size uniformity ( ≤ 20 nm ), good particle ( ≤ 10 ea in entire wafer ), uniform contact resistance in the range of 4800~5700Ω/Cnt, and proper yield data.
PS-MoP-20 Etching Mechanism of (Ba,Sr)TiO3 Films in High Density Cl2/BCl3/Ar Plasma
S.B. Kim (Chung-Ang University, Korea); Y.H. Lee (Sung-Kyun-Kwan University, S.Korea); G.Y. Yeom (Sung-Kyun-Kwan University, Korea); T.H. Kim (Yeojoo Institute Technology, Korea); K.H. Kwon (Hanseo University, Korea); C.I. Kim (Chung-Ang University, Korea)
(Ba,Sr)TiO3 thin films have attracted great interest as new dielectric materials of capacitors for ultra-large-scale integrated dynamic random access memories (ULSI-DRAMs) such as 256 Mbit or 1 Gbit. In this study, Cl2/BCl3/Ar inductively coupled plasmas was used to etch (Ba,Sr)TiO3 and the effect of etch parameter such as gas mixing ratio, coil rf power, dc bias voltage and chamber pressure. The characteristics of the plasmas were estimated using a Langmuir probe and optical emission spectroscopy (OES). (Ba,Sr)TiO3 was etched under (Cl2+BCl3)/((Cl2+BCl3)+Ar) of 0.2. The maximum etch rate of the BST films was 56 nm/min under Cl2/(Cl2+Ar) of 0.21, therefore Ar gas was constant at 0.2 and the ratio of Cl2/BCl3 was changed. The change of Cl, B radical density measured by OES as a function of BCl3 percentage in Cl2/BCl3. The profile of (Ba,Sr)TiO3 and residue remaining after the etch was investigated by scanning electron microscopy (SEM). To study on chemical reaction between BST and CF4 and analysis composition of surface residue remaining after the etch, films etched with different Cl2/BCl3 gas mixing ratio were investigated using x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometer (SIMS).

ACKNOWLEDGMENTS This work was supported by GRANT No. KOSEF 981-0908-032-2 from the Korea Science and Engineering Foundation. 1. S. B. Kim, C. I. Kim, E. G. Chang, G. Y. Yeom, "Study on surface reaction of (Ba,Sr)TiO3 thin Films by high density plasma etching", J. Vac. Sci. Technol. A Jul/Aug. (1999) will be published.

PS-MoP-21 Short Time Scale Instabilities of Ion Energies in an RF driven Fluorocarbon-Plasma
G.J. Peter, N. Müller, H. Zogg, H. Oehre (Balzers Instruments, Principality of Liechtenstein)
Fluorocarbon gases are widely used for etching in the semiconductor manufacturing. Besides the desired etching, especially C4F8 tends to polymerisation and to a build-up of insulating coatings in the plasma chamber. The energy distributions of various ions from an RF-driven C4F8 -Plasma were investigated by a PPM (Plasma Process Monitor) to determine the influence of such coatings on the ion energy distribution. The PPM is a combination of a differentially pumped quadrupole mass filter and an energy analyser. An energy resolution of 0.3 eV and unit mass resolution over the whole mass range are achieved. The only part of the PPM exposed to the plasma is kept on floating potential so that coating here can not change the electrical potential. The maximum energy of the ions scattered out of the main discharge increased from initially 20 eV to 75 eV during the processing time of a single wafer (less than one minute). The energy rise was reproducible over several cycles. The initial energy was reached again after cleaning in a pure Argon plasma when a low content of C4F8 in Argon was used as sputter gas. So the effect can be attributed to the coating of the walls and electrodes. When pure C4F8 was used the coating was thus intense that it could not be removed by sputter cleaning any more. Electrical probes were tested to measure the shift of the plasma (sheet) potential, however they failed after a few minutes because they got coated. The results achieved show the usefulness of a mass spectrometer based plasma monitor whenever the ion energy is a critical process parameter. Applications are in basic plasma research as well as in pilot production plants.
PS-MoP-22 Influence of Electode-size and Finite Rise Time Effects on Plasma Sheath Expansion
G.-H. Kim (Hanyang University, Korea); H.-S. Uhm (Ajou University, Korea); S.-Y. Rhee, Y.-W. Kim (Hanyang University, Korea); S.-H. Han (KIST, Korea); M.-P. Hong (Samsung Electronics, Korea)
Influence of the electrode-size effects on plasma sheath expansion is investigated for a negative voltage (Vo) at the thin, flat, conducting, circular disk of radius (R). Properties of the ion sheath expansion in plasma are also investigated for a target voltage with a finite rise time. Results show that the sheath expansion is proportional to the square root of time at the beginning and is proportional to the five-sixth power of time later on. The propagation of the sheath front is proportional to the one-third power of the combination, 2VoR/pi. Experimental measurements have been carried out and the measured data are compared with the newly developed theoretical results. Those results agree remarkably well.
PS-MoP-24 Diode Laser Spectroscopy of C2F6 Discharges in a GEC Reference Cell
M.J. Barela, K.S. Waters, H.M. Anderson (University of New Mexico)
Diode laser absorption spectroscopy (DLAS), optical emission spectroscopy (OES) and Langmuir probe measurements were used to characterize an inductively coupled GEC Reference Cell as a function of reactor source power, bias power, pressure and heated silicon ring temperature while etching patterned oxide wafers. These measurements are used to construct response surface models of the tool's plasma chemistry behavior versus oxide and photoresist etch rate behavior. The oxide and photoresist etch rate behavior was found to also be profoundly influenced by the temperature of the cooling wafer chuck, so this became a fifth variable in the study. In a C2F6 discharge, CF and CF2 radical concentrations measured by DLAS were also found to be highly dependent on wafer chuck temperature. In a 6 mTorr C2F6 discharge at 350 W source power and 75 W bias power, typical CF and CF2 concentrations were in the range of 1x1012 cm-3 and 3x1013 cm-3 range. However, if the wafer temperature is allowed to rise toward 100 C, the CF2 concentration increases dramatically apparently due to greater photoresist interaction with the plasma. If the wafer is properly cooled, the oxide and photoresist etch rates appear to be dominated by bias power and at low bias power, net deposition of fluorocarbon polymer prevails over net etching. However, with increased wafer temperature, both power and bias exert a strong influence on film etch rates and etching occurs at even zero applied bias power. The data is expected to provide an important database for models of oxide etching in inductively coupled plasma tools. This project was funded by SEMATECH.
PS-MoP-25 Silicon Oxidation-Depth Enhancement Employing Negative Ion under Transformer Coupled RF Bias
H. Shindo (Tokai University, Japan)
Low temperature and low damage silicon oxidation technique is highly required in various ULSI processes. Especially for trench isolation of memory cell, the oxidation should be ion-assisted for directionality but with low damage. For this purpose, a method of negative ion assisted silicon oxidation was experimentally studied employing a microwave O2 plasma. A feasibility of high rate silicon oxidation with low damage at low temperature by negative ion was examined.In particular, effects of transformer coupled RF bias was deeply studied at various frequencies. The plasma produced in a 6 inch stainless-steel chamber was employed and the downstream plasma was mainly concerned because the negative ion was highly populated.Ion mass and energy analysis showed that the dominant negative ion was O- and its density was more than one order higher than O2-. The RF bias was applied with a transformer couple in combination with a DC voltage to irradiate the negative ion or positive one separately. The frequency of RF bias was varied from 40 kHz to 13.56 MHz. The oxidation depth showed a strong dependence on RF bias frequency, and its maximum was obtained at around 1 MHz, close to the negative ion plasma frequency.Thus it was concluded that the oxidation was due to the negative ions.The oxidation rate at the negative ion irradiation showed as high as 50 A/min at Vpp=65 V and it was five times higher than that at the positive ion irradiation of the same energy.This high rate of oxidation by the negative ion is due to its high chemical reactivity. An XPS analysis showed that in the film formed by the negative ion there was less suboxide compared with that by positive ion.
PS-MoP-26 Deceleration of Silicon Etch Rate at High Aspect Ratios
J. Kiihamäki (VTT Electronics, Finland)
The molecular flow conductance of a high aspect ratio feature can limit the etching species arriving at the bottom of the feature and thus limit the etch rate. Use of simple conductance model to predict etch rate of pulsed inductively couple plasma etch process gives good results when applied to linewidths typical in microelectromechanical systems (MEMS) at moderate aspect ratios, but at very high aspect ratio the conductance model does not predict the observed almost complete etch stopping. Other mechanisms are needed to explain it. In this paper the reasons of etch stop at the bottom of deep features are discussed. Measurement results of deep silicon etching are presented. Very deep holes of 30 and 60 µm diameter are etched into 1.3 mm thick silicon wafers to study the effect of process parameters. At moderate aspect ratios the bottom of the hole is nearly flat and side-walls are nearly vertical. At high aspect ratio the side-walls start to bow and the feature bottom turns into sharp spear head like. After long etch time the passivation breaks down near the top of the feature. The shape of the feature can have impact on step-coverage of passivation layer deposition during passivation step and passivation removal during etch step, which can cause the excessive sidewall etching and reduced etch rate at the feature bottom. Simple Monte Carlo calculation results of the effects of non-zero sidewall reaction probability and flow conductance of tapered tubes are presented. Main reason for etch stopping seem to be the loss of etchant species due to sidewall reactions.
PS-MoP-28 Atomistic Simulations of Radical-Surface Interactions during Plasma-Enhanced Chemical Vapor Deposition of Si Films from Silane/Hydrogen Discharges
S. Ramalingam, E.S. Aydil, D. Maroudas (University of California, Santa Barbara); S.P. Walch (NASA Ames Research Center)
Hydrogenated amorphous silicon (a-Si:H) films grown by deposition through silane-containing plasmas are widely used in solar cells and thin film transistors for flat panel displays. We present a study of the interactions of reactive radicals originating in the plasma with Si surfaces during plasma deposition from SiH4/H2 discharges based on recently developed classical force fields and ab initio calculations within density functional theory. Our simulation study employs a hierarchical approach that combines molecular-dynamics (MD) simulations for reaction identification and mechanistic understanding aided by molecular-statics and Monte Carlo simulations for reaction analysis. Interactions with isolated radicals during the initial stage of growth for each surface at submonolayer coverage are studied in detail to determine the surface chemical reactivity and the implications for the deposited film properties. The adsorption sites for the SiHx (x=1,2,3) radicals are identified on the pristine and H-terminated Si(001)-(2X1) surfaces: the energetics of adsorption determined by the classical potential agree well with ab initio calculations. In addition, ultra-fast rate deposition of a-Si:H from each of the SiHx radicals has been simulated through MD by repeatedly impinging the corresponding radical onto H-terminated Si(001)-(2X1) surfaces. SiH3 radicals can abstract H atoms from the surface through an Eley-Rideal mechanism and return to the gas phase as silane molecules. Silyl radicals also can attach dissociatively onto the H-terminated Si surface at the dimer bond center. This insertion reaction leads to breaking of the dimer bond and subsequent transfer of a H atom from the radical to one of the dimer atoms resulting in the formation of two surface dihydride species. The energetics of this reaction is analyzed in detail both with classical and ab initio calculations. The theoretical results are compared with experimental observations.
PS-MoP-29 Hydrogen Desorption from Acid Attacked Titanium after DC Glow-discharge Treatment
B.-O. Aronsson (University of Geneva, Switzerland); B. Hjorvarsson (Royal Institute of Technology, Sweden); P. Descouts (University of Geneva, Switzerland)
Thermal desorption (TD) of hydrogen (H) from an acid attacked titanium (Ti) surface has previously1 been shown to depend on the presence of a surface oxide. The oxide was removed from Ti surfaces by using a DC glow-discharge (Ar plasma) treatment, and the subsequent change in the TD of absorbed H was studied. Biocompatibility is influenced by both surface chemical and topographical properties. In this study, the surface roughness of c.p. Ti samples was increased by at least a factor of 50 as measured by AFM.1 However, beside topographical modifications, acid attack also gives a dissolution of atomic H into the Ti sub-surface region and bulk which may result in a modification of the mechanical properties. Earlier work showed that TD above 400°C, where the oxide decomposes, is needed for H desorption.1 At these temperatures the morphology and mechanical properties of the Ti bulk are also modified and a lowering of the desorption temperature is desired. After plasma treatment the TD started below 300°C and the desorption activation energy was decreased from ca 2.0 (±0.3) to 0.8 (±0.2) eV/molecule. Ar plasma treatment was found to be efficient for a homogeneous sputter cleaning (characterized with AES), even of surfaces with a high surface roughness, and the native oxide was removed. The total amount of thermally desorbed hydrogen was inversely related to the plasma treatment intensity, while NRA profiles showed a complete elimination of the subsurface hydrogen after a medium intensity plasma treatment. AFM and SEM were used to characterize the topographical modification of plasma treated surfaces.2

(1) Taborelli, M., et.al., Clinical Oral Implants Research, 1997, 8, 208-216 (2) Support from the ITI Foundation for the promotion of oral implantology, Switzerland, and from the Swiss National Fund, are greatly acknowledged.

PS-MoP-31 Investigation of the TEOS Dissociation Coefficient by Electron Impact
C. Vallee, A. Rhallabi, A. Granier, A. Goullet, G. Turban (University of Nantes, France)
Although O2/tetraethoxysilane (TEOS) PECVD has been extensively used to deposit SiO2-like films, the dissociation cross section of TEOS by electron impact is still unknown. In fact, most of the effort has been devoted to the determination of the TEOS fragmentation by O atoms (ko) since it is generally assumed that oxygen atoms are responsible for the dissociation of TEOS. Nevertheless, thanks to the experimental results obtained in a helicon reactor, it was suggested that TEOS fragmentation in a low pressure O2/TEOS plasma was mainly achieved by electron impact while the main role of oxygen atoms was the etching of the organic part of the growing film. To get better insight into the TEOS dissociation by electron impact, we tried to determine the TEOS dissociation coefficient ke in a rf helicon reactor by developing a simple model which describes the experimental evolution of the growth rate with the distance from the TEOS injection. In this experiment, the TEOS gas is introduced at a point of the wall reactor instead of using a dispersal ring. A radial evolution of the deposition rate is obtained which can be related to a radial consumption of the TEOS. The model which gives ke at 3 eV (2 mTorr), is based on the following assumption: i) the deposition rate is proportional to the flux of fragments coming from the TEOS fragmentation by electron and oxygen atoms; ii) the spatial variation of the TEOS concentration is determined using a one-dimensional chemistry model. The first hypothesis requires the use of a sticking coefficient s. Dependence of ke with the value of s introduced in the model is also investigated. Finally, we found ke to vary from a few 10-8 cm3s-1 to a few 10-7 cm3s-1.
PS-MoP-32 Electron Energy Control in Inductively Coupled Plasma Employing Multi-Mode Antenna
H. Shindo, T. Urayama (Tokai University, Japan)
In the deep sub-micron etching for ultra large-scale-integrated circuit(ULSI) processes, numerous requirements of the plasma, such as high aspect ratio, high etching selectivity, are becoming increasingly critical. The requirements have promoted development of low-pressure and high density plasma. In these plasmas, however,the electron energy is prone to become too high at low pressures. In this work, a method of electron energy control was studied in an inductively coupled plasma by employing different azimuthal mode antenna. It is expected for electron energy to reduce at higher azimuthal mode antenna, because induction field is reversed with a shorter length. An inductively coupled plasma(ICP)was produced in a stainless-steel chamber of 350mm in diameter by supplying the RF power of l3.56MHz through the quartz window at one end. Langmuir probe diagnostics and optical emission spectroscopy were made through several vertical and horizontal ports of the chamber. The antenna is basically constructed with copper sheet of 0.3mm thickness, and the different azimuthal modes of m=0 and m=1 of one-loop antenna are realized by changing the feeder points of the RF current.The electron energy reduction was found in the m=1 mode by Langmuir probe measurement. This behavior was also confirmed by optical emission spectroscopy. The ArII lines became more intensive in the m=0 mode, while the ArI lines less intensive. The energy reduction in the m=0 mode was considered due to reverse of induction field with a shorter length in the higher mode antenna. The electron energy distribution function also showed less averaged energy in the m=1 mode.
PS-MoP-33 Magnetized Inductively Coupled Plasma Etching of III-nitrides in Cl2/BCl3/O2 and Cl2/BCl3/N2
Y.H. Lee, Y.J. Sung, G.Y. Yeom (SungKyunKwan University, Korea); J.W. Lee, T.I. Kim (SAIT, Korea)
In this study, Cl2/BCl3/O2 and Cl2/BCl3/N2 inductively coupled plasmas were used to etch III-nitrides (GaN, AlN, and InN) and the effects of etch parameters such as gas combination and the effects of the magnets on the characteristics of the plasmas and etch properties of III-nitrides were investigated. The role of additive gases such as N2 and O2 to Cl2/BCl3 based plasmas to the etching of III-nitrides and the characteristics of plasma were estimated using a Langmuir probe, optical emission spectroscopy (OES), and quadrupole mass spectroscopy (QMS). Surface residue remaining after the etching was also investigated using x-ray photoelectron spectroscopy (XPS). The addition of O2 and N2 in Cl2/BCl3 generally increased III-nitrides etch rates for the small addition of O2 and N2 because more dissociated Cl radicals were generated by recombination processes (BO, B2O, B2O3, and BN) between B from BCl3 and O from O2 or N from N2. Using optical emission spectroscopy, radical peak intensities of BO, B2O, B2O3, BN, and Cl intensity were estimated. The change of Cl radical density estimated by OES and QMS as a function of gas combination showed the same trend as the change of III-nitride etch rates, therefore, the etch rates of III-nitrides were related to the abundance of chlorine radical. Also, the use of magnets to the inductively coupled plasmas enhanced the etch rates of III-nitrides and improved the etch profile. We will show the effects of the magnets on the characteristics of the plasmas and the etch properties in more details at the presentation.
PS-MoP-34 Grid Interactions with a High Density Plasma Source
J.E. Johannes, T.J. Bartel (Sandia National Laboratories); C.K. Kim, D. Ecnomou (University of Houston)
Plasma interaction with a grid/screen is important in a number of applications. In neutral beam etching, for example, a grid is used to neutralize ions and generate collimated beams of energetic neutrals for anisotropic etch without charge damage. Neutron generators, used for neutron activation analysis in downhole logging, use a grid to define the Child-Langmuir surface where electrons are shielded, to extract an essentially pure ion beam for acceleration to a target. Finally, many ion sources and satellite thrusters are based on extraction of an ion beam from a plasma through a grid. The plasma conditions and the grid hole aspect ratio determine the neutral, ion, or plasma transport through the grid. Plasma-grid interactions are investigated for a range of plasma densities (1x1017-1x1019 #/m3) using particle based plasma simulation tools, and results are compared to experimental data. Icarus, a 2-D transient Direct Simulation Monte Carlo (DSMC) code and Mercury, a computationally fast, steady-state particle code are used to investigate the plasma behavior in front of and behind the grid. Electrons, ions and neutrals are treated as particles and an explicit Poisson solver, using the boundary element method, is used to compute electric fields. Simulations of both hydrogen and argon plasmas in an inductively coupled source were performed to generate boundary conditions for the grid. The grid hole diameter ranges from 30-1000 microns with a grid thickness of 250 microns. Simulation results are compared to measured ion fluxes and angular distributions collected over a range of grid hole aspect ratios. The optimal interaction parameters and hole aspect ratio required to minimize ion escape through the grid for neutral beam applications are discussed. Plasma-grid interaction calculations were also performed for higher density plasmas (1x1019 #/m3) typical of an arc discharge to investigate optimized hole aspect ratios for ion extraction for neutron generator applications.
PS-MoP-35 Ultra-shallow n+/p and p+/n Junctions formed by Plasma Immersion Ion Implantation
J.D. Bernstein, P.L. Kellerman, W. Krull, Yu. Erokhin, P. Frisella (Eaton Corp.); M.J. Rendon (Motorola APRDL/Sematech)
Plasma immersion ion implantation (PIII) is an emerging method being developed for shallow junction formation and other low energy, high dose ion implantation applications. The PIII process consists of placing a Si wafer in a plasma containing a desired dopant species and pulse-biasing the wafer to negative potentials in order to accelerate and implant dopant ions. In this work, BF3, PH3, and AsH3 PIII are used for formation of ultra-shallow n+/p and p+/n junctions. As-implanted boron profiles produced from 2 to 10 kV BF3 PIII are compared to those from mass-analyzed BF2 implantation. The differences in the dopant profiles are attributed to the PIII ion energy distribution and the BF3 plasma species composition. The relationship between sheet resistance and junction depth is investigated for PIII and mass-analyzed implants processed with a 1050 °C spike anneal. Both n+/p and p+/n junctions produced by PIII meet S/D junction requirements of the National Technology Roadmap for Semiconductors (NTRS) for 70 nm CMOS devices.
Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule