AVS1999 Session EM-MoA: Nitride Processing and Characterization

Monday, October 25, 1999 2:00 PM in Room 608

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
2:00 PM EM-MoA-1 GaN-Based Diode Structures for Optoelectronic Applications in the Near Ultraviolet Range of the Spectrum
D. Starikov, I.E. Berishev, N. Badi, N. Medelci, J.-W. Um, A. Bensaoula (University of Houston)
We have previously reported that spectrally matched n-SiC and n-GaN-based Shottky barrier diode structures with semi-transparent Au electrodes can be employed for optoelectronic applications in the UV range of the spectrum. Both n-SiC-based and n-GaN-based structures indicated photosensitivity in the range down to 220 nm. The SiC- based structures exhibited optical emission in the avalanche mode at reverse bias down to 300 nm. The avalanche emission from the n-GaN-based samples was unstable and lasted only few seconds. In addition, high dark current and low thermal stability have been observed due to the absence of good ohmic contacts and satisfactory insulation, and poor mechanical and thermal stability of the gold layers. In this work Schottky barrier diode structures were fabricated on p-type GaN layers grown on sapphire using solid metal electrodes. The structures exhibit blue and wide-spectrum optical emissions at forward and reverse bias, respectively, and photo sensitive properties at no bias. Spectra of wide-spectrum optical emission and photo sensitivity measured through the sapphire substrate and 1.5 mm GaN film are matched in the range of 365-400 nm. The Lambertian radiant UV power of the blue emission is 466 mW at 22 V. Employment of wide-band gap oxide semiconductors In2O3 and SnO2 for rectifying contact fabrication in our diode structures should extend the spectral range of our devices beyond 365 nm (the wavelength corresponding to the band gap of GaN) and improve their mechanical and thermal stability. We have incorporated these UV-transparent and electrically-conductive oxide semiconductor materials in our device structures. Our results from the various processing steps (patterning, etching, isolation and contact deposition), as well as from the diode structures characterization will be presented. Perspectives for applications of these structures in chemical sensors are discussed.
2:20 PM EM-MoA-2 High Breakdown Voltage Au/Pt/GaN Schottky Diode
J.I. Chyi, J.M. Lee, C.C. Chuo, G.C. Chi (National Central University, Taiwan); G. Dang, A.P. Zhang, X.A. Cao, M.M. Mshewa, F. Ren, S.J. Pearton (University of Florida); S.N.G. Chu (Bell Labs, Lucent Technologies); W.G. Wilson (Charles Evans and Associates)
Au/Pt/GaN Schottky diode rectifiers with a reverse breakdown voltage of -325V were demonstrated. The GaN sample studied in this work was grown on c-plane sapphire by low-pressure metalorganic chemical vapor deposition in a horizontal reactor. Ammonia (NH3), trimethylgallium (TMG), and silane (SiH4) were used as precursors and dopants, respectively. Two-step growth method was used to obtain GaN films with smooth surface. A low temperature GaN nucleation layer of about 220 nm was first grown at 460 °C after the substrate was heated at 1050 °C in hydrogen ambient for 10 minutes. Then the substrate temperature was raised to 1050 °C for the growth of the 1 µm-thick Si-doped (3E18 cm-3) and 5 µm-thick undoped GaN layers. From the diode C-V measurement, the background doping of the undoped GaN is 1E16 cm-3. The growth rate of high temperature GaN was 1.5 µm/hr, while that for the nucleation layer was about 0.3 µm/hr. TEM cross-sectional view of the sample shows that the growth interruption during the nitride growth reduces the dislocation density significantly. From the SIMS analysis, the concentrations of carbon, oxygen and hydrogen in the undoped layer are 2E17, 3E17, and 3E18, respectively. AFM roughness was around 1nm over 10x10 µm2.
2:40 PM EM-MoA-3 Negative Electron Affinity and Electron Emission at Cesiated GaN and AlN Surfaces
C.I. Wu, A. Kahn (Princeton University)
We present a systematic study of electron affinity and secondary electron emission at clean and cesiated surfaces of p-type GaN and (nominally n-type) AlN using ultraviolet and x-ray photoemission spectroscopy, and total yield spectroscopy. Clean and ordered 1x1 surfaces are prepared by nitrogen ion sputtering and annealing.1 The electron affinity, χ, is found equal to 3.3±0.2 eV and 1.9±0.2 eV for GaN and AlN surfaces, respectively, in agreement with previous results.2,3 The deposition of Cs reduces χ(AlN) by 2.6±0.3 eV, leading to true negative electron affinity (NEA), i.e. the vacuum level (Evac) is below the conduction band minimum (Ec) at the surface. With the assist of a 1.2 eV initial downward band bending, effective NEA, i.e Evac below the bulk Ec, is achieved on p-GaN following the sequential adsorption of oxygen and deposition of cesium, which lowers χ(GaN) by 2.7±0.3 eV. The total yield, defined as the ratio of the total emitted current to the incident current, is strongly affected by the direction of band bending near the surface. For Cs/AlN, the upward band bending limits the total yield which reaches a maximum of 8 for incident electron energies of 600~900 eV and then decreases rapidly because secondary electrons excited deep in the solid are pushed back to the bulk by the field of the depletion region. On the other hand, Cs/GaN gives a maximum yield of 20 at higher incident electron energy (1200~1400 eV). This maximum is preserved up to much higher incident energy because the field of depletion region helps secondary electrons escape from the NEA solid.


1 C.I. Wu, A. Kahn, E.S. Hellman and D.N.E. Buchanan, Appl. Phys. Lett., 73, 1346 (1998).
2C.I. Wu and A. Kahn, Appl. Phys. Lett, 74, 546 (1999)
3C.I. Wu and A. Kahn, J. Vac. Sci. Technol. B16, 2218 (1998) .

3:00 PM EM-MoA-4 Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions
R.J. Shul, L. Zhang, A.G. Baca, C.G. Willison, J. Han (Sandia National Laboratories); S.J. Pearton, F. Ren (University of Florida)
The fabrication of a wide variety of GaN-based photonic and electronic devices depends on dry etching through a p-n junction. Examples of these devices include laser diodes, light-emitting diodes, heterojunction bipolar transistors, p-i-n photodiodes and junction field effect transistors. The majority of dry etching methods used to pattern such devices rely on ion-assisted removal of the substrate material. Due to the relatively high bond energy (8.92 eV/atom) of GaN, the threshold ion energy for the onset of dry etching is typically on the order of 25 eV. Under conditions of both high ion flux and ion energies above this threshold, etch rates > 5,000Å/min are readily achieved for GaN in Inductively Coupled Plasma (ICP) or Electron Cyclotron Resonance (ECR) etch systems. However, under such conditions, the potential for plasma-induced damage is significant. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often results in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study, GaN p-i-n mesa diodes were formed by Cl2/BCl3/Ar etching at different ion energies and plasma fluxes. Reverse bias leakage currents were measured to evaluate damage created during plasma etch. Despite the increase in GaN etch rates observed with increasing ion energy and flux, damage was able to accumulate ahead of the etch front. Techniques to recover device performance will also be discussed. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-ACO4-94AL85000.
3:20 PM EM-MoA-5 Schottky Diode Measurements of Dry Etch Damage in n- and p-type GaN
X.A. Cao, A.P. Zhang, G. Dang, F. Ren, S.J. Pearton (University of Florida); R.J. Shul, L. Zhang (Sandia National Laboratories)
N- and p- type GaN was exposed to Inductively Coupled Plasma (ICP)of N2, Ar or H2, as a function of high density source power(0-1400 W) and rf chuck power(20-250 W). For n-GaN there was a strong reduction in diode reverse breakdown voltage and an increase in forward and reverse currents, while for p-GaN the reverse breakdown increased. These results are consistent with creation of point defects with shallow donor nature that increase the conductivity of initial n-GaN or decrease the conductivity of initially p-GaN. Annealing at ~750 °C under N2 or removal of 500-600 Å of the surface essentially recovered the electrical properties of the plasma exposed GaN. For completed n-type mesa diode structures exposed to ICP Ar or Cl2/Ar discharges, the low-bias forward currents increased by several orders of magnitude. The exposed surfaces became N2-deficient in all cases, and both UV-ozone oxidation followed by dissolution of the oxide and annealing in N2 were examined for restoration of the diode properties.
3:40 PM EM-MoA-6 Process Development for Dry-etched Laser Facets on GaN
L. Zhang, R.J. Shul, G.A. Vawter, C.G. Willison, C.Y. Gao, J. Han (Sandia National Laboratories); S.J. Pearton (University of Florida)
With the rapid advance of III-N growth and processing technologies, GaN-based laser diodes (LDs) have been realized with continuously improved lasing thresholds and lifetimes. However, compared to their more mature arsenide and phosphide counterparts, the III-V nitride based LDs suffer from higher threshold current density and lower quantum efficiency. The reason for this is partly due to the lack of vertical profiles and sidewall roughness of the dry-etched laser facets in the III-V nitride structures, resulting in low mode reflectivity and high optical scattering loss. Therefore, it is essential to develop dry etch processes which yield anisotropic, smooth sidewalls. In this work, GaN etched sidewall profiles and morphologies are studied using an Inductively Coupled Plasma (ICP) reactor and will be compared to Reactive Ion Beam Etch (RIBE) results. Highly anisotropic profiles are expected in RIBE due to the acceleration of the ions through a series of grids located between the source and the sample as well as low pressure operation that reduces ion scattering. For BCl3/Cl2/Ar ICP chemistries, it was found that sidewall angles ranged from less than 70° to greater than 85° as a function of plasma chemistry, plasma density, ion energy, chamber pressure, and etch mask. The best ICP results were obtained using a photoresist mask, at 500 W ICP power, -150 V DC bias, 2 mTorr pressure, 32 sccm Cl2, 8 sccm BCl3, and 5 sccm Ar flow rate. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-ACO4-94AL85000.
4:00 PM EM-MoA-7 Photo-Assisted RIE of III-V Nitrides in BCl3/Cl2/Ar/N2
N. Medelci, I.E. Berishev, D. Starikov, A. Bensaoula (University of Houston); M. Gonin, K. Fuhrer, A. Schultz (Ionwerks)
III-V nitrides are known as superior semiconductor materials for UV optoelectronic and high power, high temperature applications. However, these materials are extremely difficult to etch due to their high molecular bond strength. In order to address the device processing issue, reactive ion etching (RIE) and photo-assisted RIE processes were developed for boron nitride (BN) and gallium nitride (GaN) thin films. Our experiments show that optimum photo-assisted etching using a filtered Xe lamp occurs in Cl2/Ar and BCl3/Cl2/N2 chemistries for BN and GaN, respectively.1-2 Etch rates up to 324 (GaN) and 220 (BN) nm/min with smoother and cleaner etched surfaces were obtained with this process. In this work, we extend our studies to the AlGaN and InGaN ternaries. We also address some peculiarities observed in the previous work such as higher GaN etch rates for the UV-filtered Xe lamp, and lower GaN etch rates when a KrF excimer laser was used instead of the Xe lamp. To that end and to better understand these processes and characterize the photo-assisted effects, mass spectrometry determination of volatile species and optical emission spectroscopy (OES) identification of species in the plasma will be performed. The mass spectrometer used is a unique miniature time of flight prototype which employs orthogonal extraction, has a resolving power m/Δm in excess of 500 and a detection limit of 10 ppm for all masses at a one second sampling rate.


1 A. Tempez, N. Medelci, N. Badi, D. Starikov, I. Berishev, and A. Bensaoula, "Photoenhanced reactive ion etching of III-V nitrides in BCl3/Cl2/Ar/N2 plasmas", accepted for publication in J. Vac. Sci. and Technol. A (1999).
2 N. Medelci, A. Tempez, I. Berichev, D. Starikov and A. Bensaoula, "Photo-assisted RIE of GaN in BCl3/Cl2/N2", Mat. Res. Soc. Symp. Proc. (1999) (submitted).

4:20 PM EM-MoA-8 Effect of N2 Discharge Treatment on AlGaN/GaN HEMT Ohmic Contact Using Inductively Coupled Plasma
A.P. Zhang, G. Dang, X.A. Cao, F. Ren, S.J. Pearton (University of Florida); J.M. Van Hove, P.P. Chow, R. Hickman, J.J. Klaasen (SVT Associates)
Due to the excellent thermal stability and large energy band-gap of GaN based material system, AlGaN/GaN based high electron mobility transistors (HEMTs) have an excellent potential for high temperature and high power applications. The conventional low resistance n+-cap layer structure used to reduce parasitic resistances in GaAs technology is generally not applied in nitride devices as it is difficult to perform the gate recess step. This is due to the high chemical stability of GaN which makes wet etching very difficult except at high temperatures or under optical stimulation. Mochi has demonstrated that an exposure of Ar or N2 discharge to ohmic contact region before the metal deposition showed an improvement of contact resistance. However a systematic study of plasma energy and time has not been performed yet. In this work, we investigate the contact resistance by varying the chuck power from 10-60W and ICP discharge power from 100 to 700W and plasma exposure time from 40 to 80 sec. The effect of anneal temperature was also studied. The ion bombardment sample showed two order of magnitude lower contact resistance than that of un-treated sample. Auger and AFM were also used to analyze the effect of ion bombardment. The photoresist was also optimized for the plasma treatment.
4:40 PM EM-MoA-9 In-Situ Plasmas Diagnostics for the Etchings of AlGaN/GaN Heterostructures
H.S. Kim, G.Y. Yeom (SungKyunKwan University, South Korea); J.W. Lee, T.I. Kim (Samsung Advanced Institute of Technology, South Korea)
AlGaN/GaN heterostructures have been widely used to form the various devices such as field effect transistor(FET), photodiode, bipolar transistor(BT), light emitting diode(LED), and laser diodes(LD). High etch rate of the full structure and reliable etch end point detection are required to form a device by dry etching. In the previous work, plasma characteristics during the GaN etching have been reported using a quadrupole mass spectrometry (QMS) and an optical emission spectroscopy (OES) and an etch mechanism of GaN by Cl2-based plasmas has been proposed from the detection of etch products. In this study, GaN, AlGaN, and AlN grown on sapphire, and AlGaN/GaN heterostructures were etched using inductively coupled Cl2/BCl3 plasmas. Etch rates of GaN were higher than those of AlGaN for the Cl2 rich plasmas. The increase of Al composition in the AlGaN decreased the etch rate of heterostructures regardless of plasma conditions. These differences in the etch rate could be reduced by the increase of BCl3 to Cl2 gas and the decrease of the pressure. The plasma characteristics and their relations to etch rates were investigated using in-situ plasma diagnostics such as QMS and OES. Finally, the etch end point of an AlGaN/GaN heterostructure and a LD structure could be estimated by the monitoring an Al-containing optical emission line. Detailed data will be shown in the presentation.
Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1999 Schedule