AVS1999 Session EM1-ThM: Cu, Low-k Dielectrics and Interfaces

Thursday, October 28, 1999 8:20 AM in Room 608

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
8:20 AM EM1-ThM-1 Strength of Nanoscale Copper Under Shear
P. Heino (Tampere University of Technology, Finland); P.H. Holloway (University of Florida); E. Ristolainen (Tampere University of Technology, Finland)
Since 1995 when IBM proposed that copper can be used in electrical interconnections, its properties have received a lot of interest in the electronics community, mainly because of its low electrical resistance. Previously we have shown by comparison with experimental data that mechanical properties of copper can be well described using the effective-medium theory (EMT), molecular dynamics simulations, and more than 100k atoms.1 Here we use these methods to study thermally induced shear strain and stress in several nanoscale copper systems consisting of about 200k atoms. The shear strain in the system is generated by moving the top and bottommost boundaries, corresponding to a common deformation mechanism in flip chip interconnect.2 Plastic deformation mechanisms, stress concentration and stress relaxation were studied. The role of microstructure of the system was analyzed. We studied three monocrystalline systems and several polycrystalline structures, in which the grain size was varied. The results show that the strength of the system decreases with decreasing grain size. This is contrary to macroscale behavior, but has recently been found in similar systems under tension. The reason behind this behavior is the soft grain boundary and grain boundary sliding. The strength of the small systems can decrease by a factor of ten, when the structure changes from monocrystalline to polycrystalline. In monocrystalline structures we studied dislocation formation. The results showed that dislocations prefer to initiate at the compressive side of the system rather than at the tensile side. This peculiar behavior could be exlained with the stacking fault energy and its dependence on the state of strain in the context of EMT. More details will be discussed.


1P. Heino, H. Häkkinen and K. Kaski: Europhysics Letters 41 (3) 278 (1998)
2P. Heino and E. Ristolainen: Proc. Second Int. Conf. on Modeling and Simulation of Microsystems, Apr. 19-21 (1999), San Juan, PR, USA.

8:40 AM EM1-ThM-2 A Discussion of the Interconnection Science and Technologies
S.P. Murarka (Rennselaer Polytechnic Institute)
Shrinking device dimensions have affected the interconnect performance leading to replacement of Al with Cu and investigations of a variety of low-K materials to replace SiO2 and of other modes (e.g. optical) of signal transmissions between devices and devices and the outside world. As the surface-to-volume ratio increases, the surfaces and interfaces will control the properties and reliability of the interconnection and dielectric materials. In this paper, we will review and discuss the science and technology of interconnections for semiconductor circuits and the impact of surfaces and interfaces on their performance.
9:20 AM EM1-ThM-4 Electrochemical Deposition Cu Films for ULSI Applications
J.C. Hu (National Tsing Hua University, Taiwan, R.O.C.); T.C. Chang (National Nano Device Laboratory, Taiwan, R.O.C.); W.C. Gau, C.L. Cheng (Chung-Yuan University, Taiwan, R.O.C.); M.S. Feng (National Chiao-Tong University, Taiwan, R.O.C.); Allen Yang, Kevin Lee (Merck-Kanto Advanced Chemical Ltd., Taiwan, R.O.C.); L.-J. Chen (National Tsing Hua University, Taiwan, R.O.C.)
Cu is being considered to replace aluminium in ULSI circuit metallization for better conductivity, higher electromigration resistance and reliability. CVD and PVD used to deposit Cu layer have been well documented. Relatively little is known about electrochemical deposition method to deposit copper films despite successful applications in ULSI by a number of manufacturers. The additives play a very important role in super-filling behavior. However, most of additives in electoplating solution are not disclosed in the literatures. In the present work, the new additives of electroplating solution without brightening-agents were investigated. The texture of the electroplated Cu films was studied with various applied current densities. A kind of ester was investigated as a leveling-agent. The polyethers were used as surfactants. ECD Cu deposited on low dielectric constant material like hydrogen silsesquioxane (HSQ) was studied. The basic electroplating solution was composed of CuSO4.5H2O (50-100 g/l), H2SO4 (150-200 g/l), HCl (50-80 ppm). Patterned wafers were fabricated to examine the ability of gap filling of electroplated Cu. 30-nm-thick TaN films as barriers and 100-nm-thick Cu films as seed layers were deposited by ionized metal plasma (IMP) PVD, respectively. The opening width of trenches/vias was 0.18-0.8 µmm . Applied current densities were less than 1.0 A/dm2. Transmission electron microscopy and X-ray diffractometry were utilized to investigate the microstructures and crystal orientation. Auger electron spectrocopy was applied to determine the stoichiometry and uniformity along the depth direction. The morphology was studied by a scanning electron microscope. Low resistivity, low porosity, and highly uniform electroplated Cu films were obtained, even without brightening-agent. 0.2 µmm vias and trenches with an aspect ratio of 5 were filled completely without void or seam. The Cu films exhibit a good super-filling behavior. Super-filling behavior can be explained by a diffusion-controlled theory of leveling-agents. The resistivity of a 450-nm-thick Cu film is 1.84 µmΩ-cm. From XRD analysis, strong (111) texture was obtained in the electroplated Cu films. The concentration of oxygen in the sample was found to be rather low.
9:40 AM EM1-ThM-5 Complete Filling of High-Purity Copper in Subquarter-Micron Trench Structure Using Plasma CVD Reactor with H Atom Source
H.J. Jin, M. Shiratani, Y. Nakatake, K. Koga, T. Kinoshita, Y. Watanabe (Kyushu University, Japan)
Complete filling of high-purity copper in subquarter-micron trench structures is a key requirement in formation of metal interconnects carrying signals in ULSI. For this interconnect application, we have developed a plasma CVD reactor equipped with an H atom source in order to control independently the concentration of H atoms and the degree of dissociation of Cu(hfac)2, since H atoms are extremely effective in removing impurities within the film and deposition rate and film conformality presumably depends on the degree of dissociation of Cu(hfac)2.1,2,3 High-purity Cu films (about 100%) with the low resistivity of 2 micro nΩcm can be deposited, even with the low H2 gas volume fraction of 50-67%, by using the H atom source, while high-purity films are obtained only for an H2 gas volume fraction above 90% for the CVD reactor without the source. In order to evaluate Cu filling property in trench structures using the plasma CVD reactor with the H atom source, coverage shape of the Cu deposited film in a trench 0.4 µm wide and 3.25 µm deep is examined under conditions for which high-purity (about 100%) Cu films are obtained. While the coverage at the bottom of trench is 0% for the main discharge power Pm = 80 W, it increases with decreasing Pm to reach 95% for Pm = 15 W. These results show that a decrease in Pm leads to a reduction in the sticking probability of Cu-containing radicals, a low value of which is essential for the achievement of complete filling of extremely small width and high aspect ratio trench structures. We also have succeeded in complete and void free filling in trench 0.3 µm wide and 1 μm deep using such control. Cross sectional TEM observation of Cu films shows that size of Cu grain is above 0.5 µm.


1
1M. Shiratani, et al., J. Phys. D, 29 (1996) 2754.
2H. J. Jin, et al., J. Vac. Sci. & Tech. A17 (1999).
3H. J. Jin, et al., Jpn. J. Appl. Phys. July (1999).

10:00 AM EM1-ThM-6 The Stability of Thin TiN and TaN Layers as Diffusion Barriers for Copper under Thermal Annealing and Bias Temperature Stress Conditions
H. Kizil, G. Kim, C. Steinbrüchel (Rensselaer Polytechnic Institute); B. Zhao, L. Tsau, M. Brongo (Conexant Systems)
The stability of TiN and TaN as diffusion barriers for Cu has been investigated using capacitance-vs-voltage (C-V) and leakage current-vs- voltage (I-V) measurements as a function of thermal annealing and bias temperature stress (BTS) conditions. Samples consisted of MOS capacitors with a film stack of 300 nm Cu/barrier/25 nm thermal silicon dioxide on Si. The barrier thickness was 5, 10, or 20 nm. Samples were pre-annealed in Ar/3% hydrogen for 30 minutes at various temperatures. BTS treatments were performed at 2 MV/cm and 250 C, 2 MV/cm and 200 C, and at 1.5 MV/cm and 250 C, for periods of up to one hour in flowing nitrogen. The main results can be summarized as follows: In order for BTS to yield negligible flat-band voltage shifts, pre-annealing at 350 C is necessary. This produces a substantial number of initial leakage current failures (i.e. before BTS) with TiN but not with TaN. BTS of samples pre-annealed at lower temperatures causes significant shifts in the C-V plots. However, the flat-band voltage shift (or the absence thereof) is not a very good indicator of barrier stability, in the sense that samples with minimal shifts in the C-V plots may still give unacceptably high leakage currents. TaN consistently behaves better than TiN under all stress conditions.
10:20 AM EM1-ThM-7 Surface Modification and Cleaning Enhancement of TaSiN Films with Dilute Hydrofluoric Acid*
P.J.S. Mangat, W.J. Dauksher, K.D. Cummings (Motorola, Inc.); W.L. O'Brien (Mad City Labs, Inc.)
Amorphous TaSiN and TaSi alloys films are of great interest for application in the fabrication of reticles for Next Generation Lithography (NGL) and for incorporation in semiconductor devices. TaSiN films act as absorber and scatterer, respectively, on the masks for X-Ray Lithography and Scattering with Angular Limitation in Projection Electron-beam Lithography. Recently, we have successfully extended its application to an absorber for Extreme Ultra-Violet Lithography reticles. The films have been extensively characterized in terms of resistivity, composition, defectivity, surface roughness, crystalline state, and chemical robustness. One little understood, but critical characteristic of these films, is that they undergo stress change from tensile to compressive upon interaction with dilute Hydrofluoric (HF) acid. We have investigated the cause of this behavior using high-resolution core level (Ta 4f and Si 2p) photoemission spectroscopy with synchrotron radiation. Our results using photoemission and Auger electron spectroscopy confirm that surfaces of as-deposited and annealed films are composed primarily of Si-oxides with trace amounts of Ta-oxide. Upon interaction with HF, the surface oxide undergoes major reorganization and Ta gets heavily oxidized resulting in the formation of Ta2O5. Such a reaction would lead to a build up of strain in the oxidized region, which we interpret as being contributing factor for the observed stress changes in the TaSiN thin films. We further extended the study in terms of desorbtion of the surface oxide. Upon annealing the surface, we observe that the temperature for oxide desorbtion is reduced by 200 oC from 650 oC due to the HF interaction. This can be attributed to a change in the bonding configuration by HF, wherein the Si-O bonds are broken and weak Ta-O bonds are formed. This causes the loosely bonded oxide to desorb at lower temperatures. * Work Performed at Synchrotron Radiation Center,UW-Madison, Stoughton, WI 53589.
10:40 AM EM1-ThM-8 Fluroinated Amorphous Carbon - A Low Dielectric Constant Material for Multilevel Interconnect Applications
W. Zhu, C.S. Pai, H.E. Bair, H.W. Krautter, B.S. Dennis (Lucent Technologies)
Low dielectric constant (k) materials are key components for the next generation backend-of-the-line interconnect architectures. We investigated the fundamental relationships among the deposition, structure and properties of low k fluorinated amorphous carbon (a-C:F) films. We found that the useful low k a-C:F films need to possess a carbon structure with an optimal amount of crosslinked, sp3 bonded carbon atoms. This dictates that the F/C atomic ratio is in a narrow range of 35-45%, and the films contain no hydrogen. We have successfully deposited robust a-C:F films with k<2.8 and with physical properties meeting stringent requirements for device integration.
11:20 AM EM1-ThM-10 Multilayered Si-Based Organic Thin Films with Low-Dielectric-Constant Formed by Plasma-Enhanced Chemical Vapor Deposition from Hexamethyldisiloxane
M. Okumura, S. Ichiyanagi, T. Fujii, M. Hiramatsu, M. Nawata (Meijo University, Japan)
As the device geometries of ultralarge scale integration (ULSI) circuits continue to shrink, low-dielectric-constant interlayer dielectric films are required in order to realize ULSI devices of high performance. We have previously reported a remote plasma-enhanced chemical vapor deposition (PECVD) from hexamethyldisiloxane (HMDSO) and O2 using a radio-frequency (rf, 13.56MHz) inductively coupled plasma (ICP) source for the formation of Si-based organic thin films as a new insulator with a dielectric constant lower than 3.0. In this work, to improve the thermal stability and dielectric property of Si-based organic thin films fabricated by PECVD with HMDSO, multilayered structure of methyl-siloxane layer and thin silicon-oxide layer has been proposed. After the deposition of the first Si-based organic film using PECVD from HMDSO and O2 at room temperature, the film was rapidly annealed by the irradiation of CO2 laser beam with exposed to O2 plasma without HMDSO in order to transform the surface of the organic film into silicon oxide for the improvement of thermal stability. The second Si-based organic layer was deposited on the transformed layer, and the surface of the top layer was transformed into silicon oxide in the same manner. This procedure was repeated at most 20 times. Chemical compositions and structures of the multilayered films were analyzed by x-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy. The dielectric constant of the multilayered Si-based organic thin films varied from 2.6 to 4.5. The effects of deposition conditions on the film properties were investigated.
11:40 AM EM1-ThM-11 Low-k Si-O-C-H Composite Films Prepared by Plasma Enhanced Chemical Vapor Deposition using BTMSM(bis-trimethylsilylmethane) Precursor
Y.-H. Kim, H.J. Kim (Seoul National University, Korea)
As the ultra-large scale integrated circuits(ULSI) are shrunk to deep sub-micron dimension, RC signal delay, cross-talk, and power consumption are seriously increased. Therefore, there has been a strong demand for low-k inter-metal dielectric materials instead of the conventional SiO2 in order to improve the performance of ULSI devices. Many researchers have proposed various organic and inorganic materials as the substitute for SiO2. Among them, silicon oxide-based dielectric materials containing alkyl groups have been attractive alternatives due to their high thermal stability. The steric hindrance of the alkyl groups remains nano-pores in silicon oxide and the dielectric constant is decreased. Therefore, in order to get lower value of dielectric constant, the more alkyl groups have to be included in silicon oxide. However, the over-much alkyl groups could degrade the thermal stability of this material. To achieve good thermal stability as well as the low dielectric constant, we prepared the Si-O-C-H composite films by plasma enhanced chemical vapor deposition using bis-trimethylsilylmethane(BTMSM, H9C3-Si-CH2-Si-C3H9), which is neither toxic nor flammable. Major feature of this precursor is a very strong Si-CH2-Si bond between two silicon atoms, therefore, the Si-O-C-H composite films deposited using BTMSM were expected to have good thermal stability as well as low dielectric constant. To investigate the thermal stability of the Si-O-C-H composite films, FT-IR analysis and capacitance-voltage measurement were carried out before and after heat-treatment. Film morphology and step coverage were examined by the electron microscopy (SEM and TEM).
Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1999 Schedule