AVS1999 Session PS+SS-WeA: Ion-Surface Interactions II

Wednesday, October 27, 1999 2:00 PM in Room 612

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
2:00 PM PS+SS-WeA-1 How Does Ion Bombardment Produce Enhanced Etching?
P.G.M. Sebel, L.J.F. Hermans, H.C.W. Beijerinck (Eindhoven University of Technology, The Netherlands)
Etching of Si by XeF2 is enhanced considerably by ion bombardment. The role of the reaction layer in this process is studied in a multiple-beam setup at room temperature. One of the main reaction products, SiF4, is formed in this layer. During spontaneous etching a thick reaction layer with a chain-like SixFy structure is formed.1 From ion pulse experiments on a slow time scale (100 s), it is concluded that this reaction layer is depleted of fluorine by the ion bombardment. This thinner reaction layer leads to a lower spontaneous SiF4 formation. The depletion of the reaction layer is supported by model calculations. Despite this lower spontaneous contribution, the release of reaction products on a depleted reaction layer under ion bombardment is enhanced by chemical and physical sputtering. However, to produce more reaction products, more reactants (XeF2) have to adsorb. This raises the question: "How does ion bombardment cause XeF2 to have an increased sticking probability".footnote2 From ion pulse measurements on a fast time scale (1 s) it is concluded that on a depleted reaction layer XeF2 mainly sticks on dangling bonds with a sticking probability of 0.71. By contrast, on a thick reaction layer the adsorption probability of XeF2 is determined by the much lower sticking probability of 0.08 on SiF2 surface species. This explains the enhanced sticking probability under ion bombardment and shows that a depleted reaction layer is a prerequisite for enhanced etching under ion bombardment.


1
1 M.J.M. Vugts, M.F.A. Eurlings, L.J.F. Hermans, and H.C.W. Beijerinck, J. Vac. Sci. Technol. A 14, 2780 (1996)
2Y. Tu, T.J. Chang, and H.F. Winters, Phys. Rev. B. 23, 823 (1981)

2:20 PM PS+SS-WeA-2 Desorption Species from Fluorocarbon Film by Ar+ Ion Beam Bombardment
M. Hayashi, K. Karahashi (Fujitsu Laboratories Ltd., Japan)
Fluorocarbon films are formed on wafers and chamber walls in etching processes with fluorocarbon plasmas.1,2 Desorption species from them during etching have a lot of influences on Si device fabrication, for example, formation of side wall protection films in etched holes and fluctuation of plasma components in the near-surface region of a wafer. We succeeded in detecting the species that are desorbed from fluorocarbon films by ion bombardment. In this study, we constructed a new apparatus in order to investigate desorption species from fluorocarbon films. The measured fluorocarbon films were deposited on a Si wafer by exposing it to a C2F6 plasma in an inductively coupled plasma (ICP) reactor. The species desorbed by Ar+ ion beam bombardment at a few keV into the films were detected using a quadrupole mass spectrometer (QMS). The film characteristics were evaluated simultaneously by x-ray photoelectron spectroscopy (XPS), and we discuss the correlation between the desorption species and the film characteristics. QMS spectra that were measured during the Ar+ ion beam bombardment of the fluorocarbon films show many sorts of dissociated CxFy. CF3+ is a dominant QMS peak in the fragment pattern, and this indicates that CF4 is a dominant desorption species. Besides, some large desorption species are recognized because of the detected large fragment ions of C3F3+, C3F5+, and so on. The ratios among CF, CF2, CF3, and CF4 species, which were measured with the appearance energies, indicate that CF4 is a dominant desorption species with the ratio of 80 % among them at the beginning of bombardment. However, continuous ion bombardment causes the CF ratio to increase instead of decrease in the CF4 ratio. This demonstrates the change in film characteristics from F-rich to C-rich, which was evaluated by XPS measurement. Moreover, The formation of C-rich film also corresponds to a reduction in total amount of desorption species.


1
1K. Takahashi, M. Hori, and T. Goto, J. Vac. Sci. Technol. A 14, 2011 (1996).
2T. Shirafuji, W. W. Stoffels, H. Moriguchi, and K. Tachibana, J. Vac. Sci. Technol. A 15, 209 (1997).

2:40 PM PS+SS-WeA-3 NH3 / Cl2 Gas Assisted Etching of Copper with Focused Ion Beams
K. Edinger (University of Maryland)
With the implementation of copper instead of aluminum as metallization layer in high performance integrated circuits, the use of gas assisted etching for focused ion beam (FIB) based failure analysis and circuit rewiring becomes increasingly important. In the present study the effects of exposing a copper substrate to a mixture of chlorine (Cl2) and anhydrous ammonia (NH3) during ion bombardment have been investigated. The exposure of the copper surface to chlorine or to NH3-Cl2 mixtures leads to the formation of a reaction layer. The thickness of this layer and its texture depends on the FIB parameters such as ion beam dwell time, gas pressure and the NH3 to Cl2 flux ratio. In addition, the experiments indicate that the formation of the reaction layer is enhanced in areas that have been previously exposed (i.e. damaged) with an ion beam. The etch yield shows a strong dependence on the ion beam dwell time and the gas flux. For short dwell times and low NH3 and Cl2 flux an up to 10-fold increase over physical sputtering could be achieved. With increasing Cl2 flux the etch rate decreased and the maximum in the etch yield shifted to longer dwell times, indicating changes in the adsorption kinetics of the two gases.
3:00 PM PS+SS-WeA-4 Guided Ion-beam Studies of Low Energy Cu+ and Cu2+ Ion Interactions with Mo
S.L. Anderson, A. Lapicki, K.J. Boyd, M. Aizawa (University of Utah)
Results of low-energy ion beam deposition of Cu+ and Cu2+ on polycrystalline molybdenum at energies from 5 to 220 eV are presented. Thermodynamics in this system favor Cu diffusion to the surface. At low energies, Cu+ is deposited on the surface with a sticking probability of ~0.6. As the energy is increased above 100 eV, stable subplantation of the Cu is observed. This threshold is substantially higher than expected for penetration; it seems likely that penetration occurs at lower energies, however, migration of the subplanted Cu to the surface is efficient. The results for Cu2+ are different in that subsurface copper is observed at energies down to 40 eV (20 eV/atom). This counterintuitive result is tentatively explained by the formation of complex defects which stabilize subplanted Cu. Possible defect production mechanisms are explored using variable-angle XPS, XAES and molecular dynamics simulations.
3:20 PM PS+SS-WeA-5 Ion Solid Surface Interactions in IMP Cu PVD
X.-Y. Liu, M.S. Daw, D.G. Coronell, V. Arunachalam, C.-L. Liu (Motorola Semiconductor Products Sector); J.D. Kress, D.E. Hanson, A.F. Voter (Los Alamos National Laboratory)
A thorough understanding of ion-solid surface interactions is important for predictive modeling of ionized mass plasma (IMP) Cu physical vapor deposition (PVD) at feature scales. Besides sticking coefficients and sputter yields, fundamental parameters such as angular distributions of sputtered and reflected particles, and thermal accommodation coefficient are also needed as inputs for the feature scale process simulator. Molecular dynamics (MD) simulations can be used to provide pertinent information and physical insights. In this presentation, we'll demonstrate our recent MD results for Ar+/Cu and Cu+/Cu systems, as a function of hyperthermal ion energies and impact angles. The issue of integrating different sticking coefficients for different surface "roughness" will be addressed, based on ion travel distance analysis. We have found that the sputtered particle distributions are not cosine, but can be described by a simple Gaussian-like formula. Reflection characteristics were also analyzed and compared with simple analytical assumptions. Finally, the existing trends in the MD results from the systems will be discussed in terms of interaction strength, ion energy and impact angle.
3:40 PM PS+SS-WeA-6 Deposition and Etching Using Fluorocarbon Ions: Molecular Dynamics Simulations
C.F. Abrams, D.B. Graves (University of California, Berkeley)
SiO2 etch processes use fluorocarbon (FC) plasmas to achieve selectivity to Si, SiN, and photoresist. FC plasmas deposit films which slow the etching of these surfaces compared to SiO2. Further etch process optimization hinges on understanding these films. Specifically, the mechanisms of Si etching through the FC overlayer remain matters of speculation. We used molecular dynamics simulations of FC ions impacting Si surfaces to understand this process. We developed a Si-C-F interatomic potential as an extension of our C-F potential.1 We simulated ion impacts at normal incidence onto a:Si surfaces up to fluences of 4x1016 cm-2. We examined the effects of ion composition (CFx for x=1,2,3) and incident energy (50 ≤ Ei ≤ 200 eV). At low fluences, all ions deposit FC overlayers with significant Si incorporation. We found that CF ions result in net CFx deposition, while CF3 ions result in net Si etching with a steady-state overlayer thickness that increased with Ei. For example, for 100 eV CF3, we observed deposition of 2 equivalent monolayers of C up to a fluence of 4x1015 cm-2, followed by Si etching (0.065 Si/ion) through a FC film with a steady thickness of 9.5 Å and F:C of 1.6. For CF2, the resulting steady-state, deposition or Si etching, depended on Ei, with deposition being favored at the lower energies. A striking result of the simulations is the dynamic character of the FC film during Si etching. Although the composition and thickness of the layer are unchanging at steady-state, F and C atoms are constantly depositing and then being etched by subsequent ion impacts. This `recirculation' of F and C through the overlayer appears to play a key role in Si etching. Mechanisms of both initial film deposition and steady-state etching by FC recirculation will be discussed.


1 J Tanaka, C F Abrams, D B Graves. Subm, 46th AVS Intl Sym, 1999.

4:00 PM PS+SS-WeA-7 Comparison of Thin-Film Nucleation and Growth from Ion-Beam and Cluster-Beam Deposition: Atomistic Simulations
T.A. Plaisted, S.B. Sinnott (University of Kentucky)
Experimental efforts have examined the formation of diamond-like and tailored polymer films through beam deposition. The results show that strongly adhering hydrocarbon thin films can be generated through the impact of organic ions or small clusters with mica, diamond, or glass surfaces. To study the processes involved in the nucleation and growth of these films we have performed atomistic simulations of beam impacts. Specifically, classical molecular dynamics simulations have been used where the forces are calculated using a many-body, reactive, bond-order potential. Our goal is to better understand the dependence of the film structure the reaction conditions. The simulations show the atomic-scale mechanisms by which the films nucleate and reveal the conditions needed to tailor the structure of the film. They also provide a basis for comparing the nucleation mechanisms and film structures obtained from ion-beam and cluster-beam deposition.

This work is supported by the Petroleum Research Fund and the National Science Foundation (CHE-9708049). .

4:20 PM PS+SS-WeA-8 Understanding Plasma Polymerization by Mass Selected Ions: 25 - 50 eV CF3+ vs. C3F5+ Ion Modification of Polystyrene
M.B.J. Wijesundara, L. Hanley (University of Illinois, Chicago); B. Ni, S.B. Sinnott (University of Kentucky)
Mass selected polyatomic ions beams can both create new materials similar to plasma polymers and elucidate polyatomic-surface collision mechanisms that are fundamental to plasma polymerization and etching. The fluorocarbon ions are studied here due to their technological relevance, the large number of previous studies on related systems, and their ability to illuminate several fundamental points in polyatomic ion-surface modification and plasma polymerization. Polystyrene has been chosen because it is a typical polymer surface whose lack of heteroatoms facilitates its surface chemical analysis. Previous experiments with 10 - 100 eV SF5+ and C3F5+ indicated different chemistry with polystyrene surfaces.1 This work is continued here by examination of 25 - 50 eV CF3+ vs. C3F5+ ion reactions with polystyrene surfaces using monochromatic x-ray photoelectron spectroscopy and molecular dynamics simulations. These two fluorocarbon ions display significantly different surface chemistry at these low kinetic energies that cannot be explained simply by eV/atom arguments.


1E. T. Ada, O. Kornienko, L. Hanley, J. Phys. Chem. B 102, 3959-3966 (1998).

4:40 PM PS+SS-WeA-9 Surface Interactions of Plasma-Generated NH2 Radicals
E.R. Fisher, J.R.D. Peers, M.L. Steen (Colorado State University)
Ammonia plasmas are used in the microelectronics industry to deposit amorphous hydrogenated silicon nitride (a-SiNx:H) films. Thin films of a-SiNx:H are used as gate dielectrics and barrier coatings in microelectronic devices, capacitors in dynamic random access memory (DRAM) cells, and microfabrication of sensors and actuators. Using the imaging of radicals interacting with surfaces (IRIS) technique, we have performed a comprehensive study of the interactions of NH2 with a variety of surfaces during NH3 plasma processing. The substrates examined were Si(100), Pt, polyimide, polyethylene, and Teflon. In most cases, NH2 scattering from the surface was greater than unity, indicating a production of NH2 through surface reaction. Removal of charged species from the plasma molecular beam results in a decrease in the scattered NH2 signal, indicating that the presence of ions in the plasma is responsible in large part for radical production at the surface. We have examined the dependence of the scattering signal intensity on applied rf plasma power and substrate temperature for all species. In addition, velocity distributions for NH2 radicals scattering off the surfaces were measured. Using Monte Carlo simulation methods and assuming a Maxwell-Boltzmann distribution, we determine the translational temperatures of the scattered species. Species scattering off 300 K Si and Pt substrates have a translational temperature of 400±30 K, significantly higher than the substrate temperature, while the translational temperature of species scattering off the polymeric substrates tends to be closer to the substrate temperature. This suggests that the NH2 radicals are coming to equilibrium with the polymeric substrates and not with Si or Pt. The temperature of the radicals in the plasma molecular beam itself is 512±8 K at 25 W applied plasma power, indicating that the radicals are cooling by collisions with the substrate surface.
5:00 PM PS+SS-WeA-10 FT-IR and XPS Study of Plasma-treated Acrylic Coating Surfaces
M.K. Shi, G.L. Graff, M.E. Gross, P.A. Mounier, M.G. Hall (Battelle Pacific Northwest National Laboratory)
Polymer/metal multilayer structures have many potential optical, display, and packaging applications. A key issue for the successful processing of such multilayer stacks is obtaining good wetting and adhesion at each interface. Low-temperature plasmas have proven to be one of the most efficient technologies for such purposes. Moreover, the effects of plasma treatments are limited typically to the outermost surface layers and the process is fully compatible with the polymer/metal multilayers cluster tools. We studied the interaction of RF (13.56 MHz)plasmas with an acrylic (tripropyleneglycol-diacrylate)coating surface using FT-IR and XPS. The acrylic coating, with thickness varying from 100 Å to 2 µm, was deposited onto an Al metallized PET substrate by vacuum flash evaporation of the acrylic monomer and subsequent UV-curing. FT-IR measurements showed the destruction of initial ester (O-C=O) and ether (C-O-C) groups, and the ablation of H from C-H bonds after plasma treatments. These effects were accompanied by the formation of carbonyl (R-C=O) and/or acid (R-COOH) groups, and the development of graphite-like C-C structures. The spectral features are similar for N2and O2 plasma-treated surfaces, no N-containing absorption peaks can be identified for the N2 plasma-treated surface. XPS measurements confirmed the loss of the ester (O=C-O) and ether (C-O-C) bonds with respect to the C-C (C-H) peak, and the formation of carbonyl groups. The spectra further showed that approximately 10% of atomic N have been incorporated into the surface after N2 plasma treatment. These results showed clearly that FT-IR and XPS are complementary techniques for the characterization of plasma-modified polymer surfaces. The possible plasma/acrylic coating interaction mechanisms will be discussed.
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule