AVS1999 Session PS-WeM: Feature Profile Evolution

Wednesday, October 27, 1999 8:20 AM in Room 609

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
8:20 AM PS-WeM-1 The Ion-Assisted Etching and Profile Development of Silicon in Molecular and Atomic Chlorine
E.S.G. Shaqfeh (Stanford University)
An ion beam etching study, designed to characterize the important kinetic and transport processes involved in the ion-assisted etching of silicon in both molecular and atomic chlorine, was performed. Monoenergetic argon ions were directed normal to a silicon wafer that was simultaneously exposed to a neutral molecular and/or atomic chlorine beam. Dissociation of the beam was induced by thermally heating the graphite tip of the effusive source via electron impact. Beam composition was characterized using a quadrupole mass spectrometer. Unpatterned polysilicon wafers were etched to determine the ion-induced etching yields as a function of ion energy, ion to neutral flux ratio, and neutral flux composition. A physically-based kinetic model was developed to represent the yield data, incorporating chlorine adsorption, atomic to molecular chlorine surface recombination, and the ion-induced desorption of adsorbed chlorine and silicon chloride products. Feature etching experiments using patterned silicon wafers were also performed under ion-limited and neutral-limited conditions of varying neutral composition. Resulting profiles were examined for aspect ratio dependent etching effects, where traditional lag was observed for features etched using an isotropically distributed background chlorine flux and inverse lag was observed for features etched with a molecular and atomic chlorine flux arriving directly from the effusive source. Microtrenching was also present in the etched features. Computer simulations of the etching process and profile development were performed using the kinetic model and a line-of-sight re-emission model for the chlorine transport. Using the simulation, atomic to molecular chlorine recombination effects were explored as a function of the surface recombination coefficient. Predictions of the simulations were compared to experimentally-derived profiles and were found to be in good agreement.
9:00 AM PS-WeM-3 Investigation through Simulation of the Effect of Ar Addition on the Cl+/Cl2+ Ratio in Chlorine Discharges
J. Helmsen, P. Loewenhardt (Applied Materials Inc.)
.A cause of compromise in commercial Al etch processes that employ Cl discharges is the removal of Cu residue. This residue is due to the presence of Cu that has been added to the Al to prevent electromigration. Investigation of processes that successfully remove this residue have shown that the Cl+/Cl2+ ratio measured near the wafer has been found to positively correlate with residue removal.1 This ratio can increase as a result of lower pressures and increased source powers, but also can increase due to the addition of Ar into the plasma. Investigation of the ratio through the use of simulation in pure Cl plasmas has shown the ratio is controlled by charge exchange between Cl+ and Cl2 producing Cl2+ and Cl.2 The proposed influence of Ar is dilution of Cl2, thereby allowing a greater proportion of Cl+ to not participate in the reaction and reach the surface. The effect is shown through the use of plasma simulation with the Hybrid Plasma Equipment Model (HPEM).3


1
1 P. Loewenhardt, "Plasma Diagnostics: Use and Justification in an Industrial Environment", 51st Annual Gaseous Electronics Conference, Maui, Hawaii, Oct. 1998.
2 J. Helmsen, D. Hammer, J. Yamartino and P. Loewenhardt, "Investigations of Rate Coefficients in the Cl Model", IEEE Transactions on Plasma Science (Accepted for Publication)
3 P. L. G. Ventzek, M. Grapperhaus and M. J. Kushner, "Investigation of Electron Source and Ion Flux Uniformity Measurements in High Plasma Density Inductively Plasma Tools Using 2-Dimensional Modeling", J. Vac. Science Tech. B 12, 3118-3137 (1994)

9:20 AM PS-WeM-4 Does Mask Charging Influence Sidewall Trench Formation ?
H.C. Lee, G.S. Hwang (California Institute of Technology); H.S. Lee (Hyundai Electronics Co. Ltd., Korea); K.P. Giapis (California Institute of Technology); L. Desvoivres, L. Vallier, O. Joubert (France Telecom-CNET, France)
There has been some controversy in the etching community about how and to what extent charging effects influence profile evolution during the main etch in high-density plasmas. Microtrenching, for example, is believed to occur as a result of forward scatt ering of ions at the sidewalls. While charging is not essential for microtrenching, simulations have shown that mask charging could perturb the ion trajectories so that more ions scatter at the sidewalls; the resulting increase in scattered ion flux causes deeper microtrenches. This effect is difficult to prove when the mask is made of polymeric material because mask erosion influences the profile. Mask faceting, in particular, could increase the scattered ion flux to the trench bottom thereby dominating microtrench formation. It is then imperative that a hard mask be used to prevent any chemical or physical interference with trench profile evolution. We have performed a combined experimental and theoretical study of the contribution of mask charging to m icrotrenching. A Si wafer was patterned with gratings of hard oxide masks of linewidths down to 0.2 µm and then etched in a high-density, pure Cl2 plasma to various depths. Three different mask thicknesses were tried: 0.2, 0.5, and 0.7 µm. Profile evolution simulations in the ion-limited regime predict that microtrenching should worsen with mask thickness because of increased scattering due to: 1) the larger sidewall area, and 2) ion deflection caused by upper mask sidewall charging. For mask aspect ratios larger than 3:1, charging of the lower part of the sidewall leads to an ion focusing effect that decreases significantly the microtrench depth resulting in rounded trench bottom profiles. While the experimental results generally support these trends, we find also important differences in the profile shapes. A side-by-side comparison will be shown and the charging contributions to microtrenching will be discussed.
9:40 AM PS-WeM-5 Modeling Feature Evolution in Plasma Processes
D.B. Graves (University of California, Berkeley)
Control of the shape of features during etching or deposition is central to the success of many plasma processes used for semiconductor manufacturing. Unfortunately, current models of feature shape evolution are relatively primitive with limited predictive capability. A major goal of plasma process modeling is to develop truly predictive feature shape evolution simulations. One reason for the difficulties experienced in developing predictive shape evolution models is that many parts of the plasma play a role. Events at the tool scale help to govern the composition, flux and energy of ionic and neutral species that impact substrates. The sheath and local presheath above the substrate play important roles in governing positive ion energy and angular distributions at surfaces. In some cases, electron energy distributions and negative ions can be important in feature differential charging phenomena. Features are themselves often complex, with materials and geometries that challenge current models. Processes within a feature, including neutral reaction, ion-sidewall scattering, sputtering, charge transport and redeposition of etch products are all potentially important. An important issue is the sensitivity of the predicted shape evolution to inaccuracies in various parts of the model. In this talk, I will review the progress in developing models of reactive plasma processes, focusing on the phenomena that are known or suspected to affect feature shape evolution. Predictive feature shape evolution models must include the tool scale, the sheath, the feature itself, as well as processes occurring at surfaces. I will review progress made in using vacuum beam experiments, atomistic simulations, and plasma experiments that focus on feature shape evolution. I will highlight the need for more systematic studies of plasma process feature shape evolution, the development of novel sensors, and the development of physically-based, phenomenological surface rate expressions.
10:20 AM PS-WeM-7 Feature Profile Evolution of SiO2 Trenches in Fluorocarbon Plasmas
H.H. Hwang (Thermosciences Institute); T.R. Govindan, M. Meyyappan (NASA Ames Research Center); V. Arunachalam, S. Rauf, D.G. Coronell (Motorola)
Etching of silicon microstructures for semiconductor manufacturing in chlorine plasmas has been well characterized. The etching proceeds in a two-part process, where the chlorine neutrals passivate the Si surface and then the ions etch away SiClx. However, etching in more complicated gas mixtures and materials, such as etching of SiO2 in Ar/C4F8, requires knowledge of the ion and neutral distribution functions as a function of angle and velocity, in addition to modeling the gas-surface reactions. In order to address these needs, we have developed and integrated a suite of models to simulate the etching process from the plasma reactor level to the feature profile evolution level. This arrangement allows for a better understanding, control, and prediction of the influence of equipment level process parameters on feature profile evolution. We are currently using the HPEM (Hybrid Plasma Equipment Model) and PCMCM (Plasma Chemistry Monte Carlo Model) to generate plasma properties and ion and neutral distribution functions for argon/fluorocarbon discharges in a GEC Reference Cell. These quantities are then input to the feature scale model, Simulation of Profile Evolution by Level Sets (SPELS). A surface chemistry model is used to determine the interaction of the incoming species with the substrate material and simulate the evolution of the trench profile. The impact of change of gas pressure and inductive power on the relative flux of CFx and F to the wafer, the etch and polymerization rates, and feature profiles will be examined. Comparisons to experimental profiles will also be presented.
10:40 AM PS-WeM-8 Application of an Integrated Feature Scale Model to Ionized PVD of Cu Barrier and Seed Processes
V. Arunachalam, D.G. Coronell, S. Rauf, P.L.G. Ventzek, X.-Y. Liu (Motorola Inc.)
Ionized PVD has emerged as an important process for the deposition of Cu barrier and seed films in high aspect ratio features. Experiments have shown that ionized PVD results in improved bottom and sidewall coverage owing to the highly anisotropic ion fluxes and the resputtering caused by the energetic ions respectively. Our, previous work1 described the analysis of a single deposition step as a seamless integration of equipment, sheath, feature and atomistic level phenomena. We have extended and improved upon our previous model to consider more detailed process issues at the feature scale level. In particular, we have developed a three-dimensional Monte Carlo-based surface moving algorithm capable of simulating the deposition of multi-component films and multiple deposition steps. In this presentation, we demonstrate the applicability of the model to address process integration and the compositional control of multi-component films. The importance of the initial feature geometry, the ability of the depositing material to wet the underlying substrate, and the preferential sputtering of one film constituent over another will be discussed.


1 D. Coronell et al. AVS 1998 paper

11:00 AM PS-WeM-9 Analysis and Simulation of Mask Erosion During Dry Etching
J. Westlinder, F. Engelmark, L.B. Jonsson, C. Hedlund, I.V. Katardjiev, H.-O. Blom (Uppsala University, Sweden)
In order to do topography simulations, which is an important part of process simulation, the erosion/growth rates of materials exposed to different complex processes must be determined. The erosion of the mask during plasma etching is becoming increasingly important as the feature size continues to shrink. By using anisotropic wet etching of silicon wafers it is possible to create structures defined by specific crystallographic planes. This results in silicon groove structures consisting of 7-10 µm wide planar surfaces which form various angles with respect to the wafer normal. The structures can then be coated with different materials and processed under standard operating conditions. Since only Si wafers are used the method is fully IC production compatible and can be used directly in production systems. The method is used to analyze the erosion of different mask materials. Data for the angular dependence of the etch rate for different dry etching processes like e.g. RIE and ICP and for different mask materials used in IC manufacturing have been obtained and will be presented. The results are used as input data to the topography simulation software DINESE.
11:20 AM PS-WeM-10 Characterization of Photoresist Trimming in a Lam TCP9400 With the Aid of a Profile Simulation
V. Vahedi (Lam Research Corporation); S Lin (Lam Research Corporation, Taiwan); H.W. Chang (Lam Research Corporation); H.J. Tao, C.C. Chen, C.S. Tsai, M.S. Liang (Taiwan Semiconductor Manufacturing Company)
As a result of the lithography limitations in printing photoresist lines below 0.18m, there is a growing interest in reducing the mask linewidth (in a controlled manner) using dry process tools. This process is called photoresist trimming. A typical polysilicon gate film stack for the next generation devices may include photoresist/hardmask/polysilicon/gate oxide. The advantage of photoresist trimming is that it can be done in situ and can be integrated into the process steps. In this paper, we will discuss the challenges and issues with this process. To accelerate the process development & optimization, we are using a profile simulator to understand the basic mechanisms. Typical trim processes include oxygen with other additives. Our proposed mechanisms for photoresist trimming include chemical etching, ion-enhanced etching & physical sputtering. These mechanisms are included in our profile simulation, and the simulation is calibrated with experimental data. We will show quantitative comparison between simulation and experiments. Once calibrated, the simulation can be used to predict profile changes for any line and spacing. The simulation is being used to study photoresist foot removal and CD variations between isolated and dense lines.
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule