AVS1999 Session PS-TuM: Plasma-Surface Interactions I

Tuesday, October 26, 1999 8:20 AM in Room 609

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
8:20 AM PS-TuM-1 Probing Surface Layers during Inductively Coupled Plasma Etching using Laser-Thermal Desorption and Other Optical Techniques
I.P. Herman, J.Y. Choe, N.C.M. Fuller (Columbia University); V.M. Donnelly, M.V. Malyshev, K.H.A. Bogart (Bell Laboratories, Lucent Technologies)
The etching of Si, Ge, and InP by an inductively coupled plasma (ICP) of chlorine is investigated by analyzing both the composition of the surface and the plasma during etching. The surface is probed by using laser-induced thermal desorption with an XeCl laser (308 nm) to desorb the steady-state adlayer and optical methods to detect these desorbed species. The development of a new method to detect optically these laser desorbed (LD) species is detailed, that of examining transient changes in the plasma-induced emission (PIE). This LD-PIE method is seen to be more universal than the previously reported detection by laser-induced fluorescence (LD-LIF), but is seen to require more calibration due to varying electron density and temperature with varying plasma conditions. This is detailed for Si etching, for which LD-PIE and LD-LIF results are compared. The calibration methods are seen to be valid when the surface is analyzed as the rf power supplied to the reactor is varied. A more complete picture of the etching process requires detailed characterization of the plasma through measurements of the constituents of the plasma. Neutral Cl2 and Cl densities are determined by optical emission actinometry; Cl2+ and Cl+ densities are determined by LIF and Langmuir probe measurements; and electron densities - needed for LD-PIE calibration - are measured by microwave interferometry. An improved understanding of the etching mechanism is obtained by combining the results of each of these measurements. This work was supported at Columbia by NSF Grant No. DMR-98-15846.
9:00 AM PS-TuM-3 Microscopic Uniformity in Oxide Etch during Overetch Time in Polysilicon Gate Etching
K. Ono (Kyoto University, Japan); T. Mutumi (Mitsubishi Electric Corporation, Japan)
Plasma-surface interactions during polysilicon gate etching have been studied in ECR Cl2 and Cl2/O2 plasmas, with emphasis on a better understanding of competitive mechanisms for microscopic uniformity i n etching of underlying SiO2 that occur during overetch time. Experim ents were performed as a function of pressure, O2 percentage, and rf b ias power, using samples for etching masked with a photoresist pattern of li nes and spaces (0.35-5 µm). The results of SiO2 etching exhibit ed aspect-ratio dependent etch rates similar to those of poly-Si. In pure C l2 plasmas, an RIE lag was observed at relatively low pressures (<5 mT orr), and its magnitude was significantly enhanced as the bias power was low ered. In Cl2/O2 plasmas, a transition from regular to inverse R IE lag was found to occur as the O2 percentage was increased, which ga ve microscopically uniform etch rates at low level (10%) O2 addition; the magnitude of inverse RIE lag at high O2 percentages (>20%) was muc h larger for SiO2 than for poly-Si. The inverse RIE lag also occurred at high pressures in pure Cl2 plasmas, probably owing to background o xygen. Moreover, microscopically nonuniform thinning and breaking of thin g ate oxides was found to occur during overetch time; the thinning and breakin g occurred preferentially in large open fields in pure Cl2 plasmas, wh ile in dense areas at high level O2 addition in Cl2/O2 pla smas. A comparison of the experiments with the predictions of rate model an alysis indicated that the microscopic uniformity of SiO2 etch rate pre sently observed in chlorine-containing plasmas is interpreted in terms of tw o competing processes caused by incoming ions and neutrals from the plasma i nto microstructures: carbon-enhanced oxide etching and removal of carbonaceo us materials by oxygen. The carbonaceous materials of interest are attribut able primarily to hydrocarbon fragments from sputtered photoresist, and the background and/or added oxygen is assumed to scavenge such hydrocarbon fragm ents adsorbed on SiO2 surfaces before they enhance the oxide etch rate.
9:20 AM PS-TuM-4 Vacuum Beam Studies of Photoresist Etching Kinetics
F. Greer, J.W. Coburn, D.B. Graves (University of California, Berkeley)
One factor limiting the development of reliable models of high density, low pressure oxide etch plasmas is the relatively poor understanding of the plasma-photoresist surface interactions. In particular, the relatively high rates of photoresist (PR) loss experienced in high density fluorocarbon plasmas is a significant problem. It has long been accepted that fluorine plays a key role in controlling the oxide to PR etch rate selectivity. The addition of hydrogen has been shown to improve this selectivity by scavenging fluorine from the tool. To develop reliable models of these etch tools, it is necessary to understand how this process occurs and to predict PR etch rates as a function of the neutral to ion flux ratio at the surface as well as the ion energy dependence. The neutral and ion compositions in practical etch systems are difficult to measure and control accurately; however, vacuum beam systems can probe the plasma-surface interactions of various samples by employing independent beams of both neutral and ionic species. The complicated chemistry of fluorocarbon plasmas is modeled with argon ions and independent neutral fluxes of hydrogen and fluorine atoms intersecting at the surface of a photoresist sample. We will present experimental evidence that the etch yield of photoresist (carbon atoms removed per incident argon ion) under these conditions is quite high compared to that of silicon and silicon dioxide. The presence of a simultaneous flux of hydrogen on the photoresist surface does not affect the etch yield measured despite the fact that HF does form during the etching process. In addition, the relative reactivity of hydrogen and fluorine atoms for abstraction of one another on the photoresist surface has been measured with modulated beam mass spectrometry with and without ion bombardment. These results are incorporated into a phenomenological model of the photoresist etching process.
9:40 AM PS-TuM-5 The Relationship of Etch Reaction and Reactive Species Flux in C4F8/Ar/O2 Plasma for SiO2 Selective Etching Over Si3N4
M. Matsui, T. Tatsumi, M. Sekine (Association of Super-Advanced Electronics Technologies (ASET), Japan)
The relationship between reactive species flux and their inducing surface reaction layer was studied in a SiO2/Si3N4 highly selective etch process. C4F8/Ar/O2 plasma in a dual-frequency (27/0.8 MHz) parallel plate etch system was employed to etch the specimens that were quantatively analyzed by using X-ray photoelectron spectroscopy (XPS). CFx radical flux was controlled by adjusting the C4F8 flow rate ratio. The influence of the O2 partial pressure was also investigated. Ion flux (6 x 1016 cm-2s-1) and the Vpp of bias rf (1450 V) were kept constant. We have found that the etch rates strongly depend on the fluorocarbon film thicknesses. Under higher Si3N4 etch rate conditions with low CF2 flux or high O2 partial pressure, the fluorocarbon film on the Si3N4 surfaces was thinner than film in a high-selectivity condition (5-6 nm). The oxidation of the Si3N4 surface was also observed. On the other hand, the fluorocarbon film thicknesses on the SiO2 surface were less than 1 nm where the etch reaction proceeds on the SiO2 surface. The fluorocarbon films on SiO2 were thinner than films on Si3N4 at the same CFx-radical-flux. This difference of the film thickness is considered to be due to the outflux of oxygen from SiO2, that can remove CF species from the SiO2 surface. The fluorocarbon films on the SiO2 are so thin that the ion energy is not reduced when passing through the films, while those on the Si3N4 are almost as thick as the ion range of 1450 V. In conclusion, highly selective etch is achieved in the condition where the minimum partial pressure of O2 and proper amount of CFx radical flux in order to control the fluorocarbon film thicknesses on Si3N4 and SiO2.


1This work was supported by NEDO.

10:20 AM PS-TuM-7 Anisotropic Etching of Polymer Films by High Energy (~ 100s of eV) Oxygen Atom Neutral Beams
S. Panda, D.J. Economou (University of Houston); L. Chen (Chen Laboratories)
As microelectronic device dimensions continue to shrink, charging damage is becoming a major issue. Charging damage can result in anomalous etch profiles (notching) and reduced etch rate in high aspect ratio features (aspect ratio dependent etching), in addition to thin oxide breakdown. A way to minimize or eliminate charging damage is to use a neutral beam instead of reactive ion etching. High flux, controlled energy, and high directionality are critical requirements of such neutral beam. We have developed a neutral beam reactor that generates a collimated, high energy (30-300 eV), high flux (equivalent of several mA/cm2) neutral beam. An inductively coupled source is used to generate a high density plasma. Positive ions are extracted through a grid with high aspect ratio holes, which also serves to neutralize the ions. The neutral beam reactor was tested by etching a polymer film using an O-atom beam extracted from an oxygen plasma. High rate (~ 1 micron/min), microloading-free, high aspect ratio etching, with straight sidewalls was demonstrated. The plasma source and the region downstream of the gird were characterized by optical emission actinometry and Langmuir probe measurements to shed light on the effect of source operating parameters (pressure, power, extraction voltage) on species densities and fluxes, and the degree of ion neutralization.
10:40 AM PS-TuM-8 X-ray Photoelectron Analysis of Sidewall Passivation Films formed during Sub 0.1 µm Silicon Gate Etch Processes
L. Desvoivres (France Telecom-CNET); L. Vallier, O. Joubert (France Telecom-CNET and CNRS)
As integrated circuits dimensions are rapidly scaling down to 0.1 µm regime and below, the critical dimension (CD) control of the etched features becomes more and more challenging. The critical dimension budget (10% of the nominal dimension) is usually shared between lithography and etching. Each individual step should be carefully optimized. For silicon gate applications, CD variations across the wafer are usually attributed to etch non-uniformities. However, the passivation layer which forms on the silicon sidewalls has also a direct impact on CD control since the passivation layer thickness is aspect ratio dependent. In the future, a careful control of the nature and thickness of this layer will become critical. In this work, we have investigated the impact of the chemistry (hydrogen bromine, chlorine with different oxygen dilutions) and of the plasma conditions on the formation of the sidewall passivation film. This study has been performed in a high density plasma Helicon source, operated at low pressure. The sidewall film has been analyzed using x-ray photoelectron spectroscopy. The sidewall passivation film formed in 125 nm L/S features is a 2 nm thick SiO2 like film composed of oxygen, halogen and silicon. This film is mainly formed during the main etch step of the process thanks to etch products deposition on the sidewalls of the features. It gets oxidized at the very beginning of the overetch step thereby allowing halogen to be desorbed and the oxygen concentration to be increased. The impact of the plasma operating conditions and chemistry on the nature and thickness of the sidewall film will be presented.


1
1 This work has been carried out within the GRESSI Consortium between CEA-LETI and France Telecom-CNET

11:00 AM PS-TuM-9 Kinetic Roughening of GaAs (001) During Plasma Chemical Etching
S.W. Robey (National Institute of Standards and Technology)
Kinetic roughening of the GaAs(001) surface during plasma etching by H and CH3 radicals was investigated using atomic force microscopy in combination with RHEED and Auger spectroscopy. The evolution of the surface morphology was tracked as a function of etching time, temperature, and plasma composition. Large changes in the form of the surface roughness were observed and reflect variations in the surface dynamics which induce correlations from point-to-point on the surface. These changes involved not only the length scale of surface features, but also distinctive variations in the anisotropy between [110] and [-110], particularly as a function of surface temperature. Surface height data were analyzed to extract height correlation functions, which provided dynamic and roughness scaling exponents for comparison with theory. Measured height-difference correlation functions displayed two distinct roughness scaling regimes with a crossover that increased from ~ 30 nm to ~ 150 nm with increasing surface temperature between 500 K and 700 K. At short length scales, a roughness coefficient of ~ 0.7 was typically observed, while a logarithmic dependence was generally observed at larger length scales. Calculated height-correlation functions based on continuum models that include competition between short length-scale surface diffusion and long-range desorption dynamics reproduce this crossover behavior and offer the potential for extracting information on changing surface dynamics as a function of etch conditions.
11:20 AM PS-TuM-10 Measurements and Modeling of the Absolute Sputtering Yield of Nitrided and Non-nitrided Diffusion/Barrier Film Materials with Incident N+ and Ar+
R. Ranjan, M.H. Hendricks, J.P. Allain, D.N. Ruzic (University of Illinois, Urbana-Champaign)
The angular distribution of sputtered material and the absolute sputtering yield of metal targets by argon ions at energies less than 1000 eV has been measured in previous work for a number of materials.1 The application of TiN and TaN films for both diffusion barrier and enhanced metallization properties has grown in the advent of copper metallization. The conformal nature of such diffusion barriers has been addressed with the advancement of IPVD magnetron sputtering systems. In order to understand the physical processes on magnetron targets used in such systems, an experiment in the Ion-surface InterAction Experiment (IIAX) facility has been designed to measure the absolute sputtering yield of nitrided and non-nitrided barrier/seed layer material targets with incident N and Ar ions. A Coultron ion source is used to create and accelerate gaseous ions onto a 100 mm2 metal target. The bombarding ions are mass-selected through an E X B filter and decelerated near the target. The target can be rotated in order to provide variation in the angle of incidence. A plasma cup is used to remove the first few monolayers and thus provide a "clean" surface. This method also provides for "nitriding" the layer on the target surface. A newly designed dual quartz crystal oscillator unit is rotated in front of the target to collect the sputtered flux measuring the absolute sputtering yield. Modeling is performed by VFTRIM3D, an enhanced version of TRIM, a Monte Carlo code which includes fractal geometry and a non-binary collision model.2


1
1 P.C. Smith, D.N.Ruzic, submitted, J. Vac. Sci. Technol.A
2 D.N.Ruzic, Nuclear Instrum. and Methods in Phys. Res. B47 (1990) pp.118-125

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1999 Schedule