AVS1998 Session PC-FrM: Process Monitoring and Control

Friday, November 6, 1998 8:20 AM in Room 317

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PC Sessions | Time Periods | Topics | AVS1998 Schedule

Start Invited? Item
8:20 AM PC-FrM-1 Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments
G.W. Rubloff (University of Maryland)
The potential of mass spectrometry and other chemical sensing approaches to determine dynamic chemical constituency through a reactive semiconductor process cycle holds substantial promise for process control and attendant manufacturing benefits. Applications to mainline VLSI processes have already demonstrated value in supplying metrology information (rates, deposition thickness, etch endpointing) and in revealing process mechanisms and equipment subtleties, information which significantly complements the existing raison-d'etre for RGA's in manufacturing, namely, equipment qualification and debugging. However, the benefits of advanced process control will require other elements. Use of sensor signals for metrology depends on having good sensor models, which in turn often means good models for the sensor IN the tool. Real-time or run-to-run control necessitates either good models or robust algorithms which are less sensitive to model or data error. Fault management - i.e., fault classification and prognosis as well as detection - requires multi-sensor integration including equipment state signals for decision support to minimize down-time, along with dynamic models for interpreting system behavior. This talk will provide examples for mass spec based metrology and process insight, along with an outline of how sensor information might lead to beneficial control and fault management responses.
9:00 AM PC-FrM-3 Reaction Analysis and Rate Metrology of Selective Area Silicon PECVD using In-Situ Real-time Mass Spectroscopic Sensing and Mass Balance Modeling
A.I. Chowdhury, T.M. Klein, G.N. Parsons (North Carolina State University)
Thin film processes in microelectronics fabrication often have intermediate steps that need to be quantified for optimization purposes. These intermediate steps, including concurrent etching and deposition cannot be quantified using only final state analysis. Additional real-time process state data is required. Mass spectroscopy is a useful process state sensor for SiH4 processes because it is particularly sensitive to changes in silane concentrations in the sampled gas. We use real-time in-situ mass spectroscopy and mass balance modeling to quantify deposition and etching reaction rates in a cyclic deposition/etch process that leads to selective area microcrystalline silicon PECVD. The procedure involves repeated cycles of a SiH4/He/H2 plasma followed by a He/H2 plasma. In order to monitor reactant concentrations in real time, process trace data were collected at 30 amu corresponding to SiH2+, the principal SiH4 related signal generated in the ionization region of the mass spectrometer. We have developed a mass balance model that can be used in conjunction with real-time sensor data, such as mass spectroscopy, to quantify deposition and etching rates in selective deposition. During SiH4/He/H2 flow, when the plasma is initiated, we observe a decrease in the silane signal that is correlated to film deposition. During the He/H2 plasma the silane signal is larger when the plasma is on, and the change is a quantitative indicator of silane produced by etching. OES data does not show comparable sensitivity to silane concentration changes for our process. The transition from selective to non-selective conditions can be detected in real-time. A sharp change in slope of the silane signal is observed during etching, which is consistent with complete removal of stray nuclei from the non-receptive surfaces. Fitting the real-time mass spectroscopic data to the mass balance model we calculated the etch rate to be ~2.1 nm/min on receptive surfaces such as c-Si and ~4.5 nm/min on non receptive surfaces such as SiO2. The values are consistent with other results. This demonstrates rate sensitivity for intermediate process steps. The mass balance analysis also reveals that these rates are obtainable only if real-time process sensor data is available.
9:20 AM PC-FrM-4 Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control
J.N. Kidder, Jr., Y. Xu, N. Gupta, T. Gougousi, G.W. Rubloff (University of Maryland)
Research in in-situ chemical process sensing and sensor integration is motivated by the potential value of real-time and in-line sensing for metrology, control, and optimization. In this work, the chemical composition of a W-CVD process flow downstream of the reactor was analyzed using a differentially-pumped closed ion source mass spectrometry system. LabView software and data acquisition hardware were employed to integrate equipment state signals (total pressure, valve status, temperature, etc.) with mass spectrometer measurements so that time-synchronized system behavior was obtained and the relation between the equipment and process variables was established. The sensor integration allowed us to monitor the reaction process via the chemical composition of the gas-phase reaction products while capturing the dynamics of the reactant delivery and pump behavior through the process cycle. Generation of product species from the W nucleation and growth stages as well as other time-dependent variations in the downstream process flow composition were detected with rapid response time (~2 s), which provided insight to the reaction dynamics at critical stages in the process. In addition, this sensor fusion facilitates identification of subtleties like flow rate fluctuation and run to run effects which are important for process design and fault management.
10:00 AM PC-FrM-6 In situ Measurement of Moisture Contamination in Reactive Process Atmospheres
J.J.F. McAndrew, R.S. Inman, D. Znamensky (Air Liquide); J.-M. Girard (Air Liquide, France); G. Goltz (France Telecom); J.-M. Flan (SGS-Thomson, France)
In situ measurement of molecular contamination in semiconductor processing is important for (i) early detection of contamination events to avoid accidental misprocessing (ii) real-time control of purging procedures to improve tool utilization, and (iii) understanding of actual contamination levels in process atmospheres. In the present work the primary goal is to simplify chamber qualification and process more wafers between maintenance. Semiconductor processing applications use reactive atmospheres with which in situ monitoring equipment must be compatible. Achieving real benefits in a manufacturing environment requires a sensor which is user-friendly and reliable, even under demanding conditions. Absence of drift and reliability of calibration are also important. In order to simplify implementation, it is desirable to monitor as few species as necessary to obtain the desired information. Water vapor may be used as a general diagnostic of ambient contamination, because it is present in relatively high concentration in air and is often the most difficult species to eliminate from the process atmosphere. The implementation of Tunable Diode Laser Absorption Spectroscopy (TDLAS) for in situ monitoring of water vapor in a Rapid Thermal Processor (RTP) has been described previously.1 That work did not address compatibility with reactive atmospheres, as the RTP uses only nitrogen as process gas. Here, we will describe the implementation of TDLAS in aggressive environments, including application to silicon nitride CVD and other processes. Implementation of the TDLAS system in a CVD process poses significant challenges, as deposition of reaction products on the sensor optics must be carefully limited. In silicon nitride CVD, appropriate heating of the optics has been found to be critical. Water vapor levels measured during processing and their impact on process parameters will also be described, as will the use of real-time contamination measurement to improve tool-utilization by simplifying chamber qualification.


1
1J.J.F. McAndrew R. S. Inman, A. Haider and J. Brookshire, 44th International Symposium of the AVS (1997) Abstract Number: 1159: Program Number: MS+VT-ThA7 (submitted to JVST A)

10:20 AM PC-FrM-7 RGA Process Monitoring in Semiconductor Manufacturing
J.M. Baker (IBM T.J. Watson Research Center)
Although RGA's have been a mainstay in vacuum technology for decades, the semiconductor industry has been slow in realizing their potential for tasks other than leak checking and vacuum troubleshooting. This is in large part because the pace and culture of the manufacturing environment provides neither the time nor the skill to deal with the combined complexities of a process tool plus an RGA system. To succeed in this environment, it is necessary to extend the basic sensor technology and build the infrastructure so that the sensor is tightly integrated with the process tool and can adapt to the process and product types. I will show how we have addressed some of these obstacles in IBM fabs through a combination of distributed hardware and software. By incorporating tool signals with the data collection software, we have been able to detect and stop contaminated wafers at a degas station in the presence of interfering pressure bursts. Through links to factory/tool control software, we have been able to obtain recipe information and adjust our monitoring methods to different process chemistries. With this capability, we have been able to collect extensive data and observe a variety of interesting and often unexpected behavior, examples of which will be presented. In concluding, I will discuss some of the implications of our experience for utilizing versatile sensors such as RGA's as part of an advanced fault detection or process control system and the importance of having the information infrastructure necessary to closely integrate with the tool, the process, and ultimately the product.
11:00 AM PC-FrM-9 Process Monitoring of Chemical Vapor Deposition Systems by In-situ Gas Analysis
B. Lu, E. Baker (Novellus Systems, Inc.)
Chemical vapor deposition (CVD) is a key technology for both interconnection and front-end wafer processing in advanced IC fabrication. CVD systems present a challenge for process state monitoring due to the inherent complexity of the process chemistry involved. When combined with other process knowledge, in-situ gas analysis using mass spectrometry (typically referred to as RGA) can provide real-time information representing the process chemical composition, process sequence, and equipment status. Consequently, such process monitoring tools can aid significantly in the continuous improvement and new product development of semiconductor processes and process equipment. Several applications will be presented to illustrate the use of a properly configured mass spectrometer on CVD process systems for (1) rapid learning of process chemistry and process state, (2) verification of system design improvement, and (3) optimization of loadlock operation to eliminate residual air contamination. We will also discuss some of the current obstacles that must be overcome in the use of mass spectrometer as a "full-time" process state sensor.
Time Period FrM Sessions | Abstract Timeline | Topic PC Sessions | Time Periods | Topics | AVS1998 Schedule