ALD/ALE 2024 Session TS-SuA: Tutorial and Perspective Session (Invitation Only)

Sunday, August 4, 2024 1:00 PM in Room Hall 3A
Sunday Afternoon

Session Abstract Book
(255KB, Jun 24, 2024)
Time Period SuA Sessions | Abstract Timeline | Topic TS Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
1:00 PM Invited TS-SuA-1 Thermal Atomic Layer Deposition of Electropositive Metal and Element Films and Assessment of Inherently Selective Growth on Substrates
Charles Winter (Wayne State University)

Our laboratory is developing new chemical precursors for the growth of electropositive metal and element thin films by atomic layer deposition (ALD). We are also interested in processes that exhibit area selective growth, especially inherently selective growth where no blocking or deactivating groups are required. ALD has many current applications in copper metallization, diffusion barriers, liners, and transistor fabrication. Thermal ALD is often preferred because plasmas can afford low conformal coverage due to radical recombination on the walls of deep and narrow features.There has been extensive progress in the thermal ALD of copper and noble metal films in recent years, because the positive electrochemical potentials allow relatively easy reduction of precursor ions to the metals. Thermal ALD approaches to most other metals and elements in the periodic table are not well developed, due to the negative electrochemical potentials of the ions and a current lack of ALD co-reagents that can convert the ions to the metals or elements. In this tutorial, the thermal ALD growth of electropositive metals such as nickel, cobalt, aluminum, and others will be described. The ALD of nickel and cobalt metal films has been achieved using precursors containing diazadienyl (RN=CHCH=NR) ligands. These precursors enable the deposition of cobalt and nickel metal films at temperatures below 200 °C and use alkylamines as benign co-reagents. Growth rates are high (0.60 Å/cycle for nickel, 0.98 Å/cycle for cobalt), high purity, low resistivity metal films are obtained, and the films have low rms roughnesses. The processes exhibit inherent selective growth on metal substrates such as platinum, ruthenium, and copper. By contrast, no growth is observed on insulating substrates. We will also describe a new family of thermal ALD precursors and processes to cobalt and copper metal films. Inherently selective growth of cobalt and copper on metal substrates is obtained with appropriate co-reactants. Finally, a thermal ALD process for the growth of aluminum metal films will be presented. This process entails treatment of surface-bound AlCl3 with a thermally stable, volatile aluminum hydride co-reagent. The growth rate for the aluminum metal ALD process is high, and high purity, low resistivity aluminum metal films are obtained. Prospects for the area selective growth of aluminum metal films will be presented. These examples demonstrate that thermal ALD processes can be enabled for electropositive metals through careful design of precursors and chemistry.

1:45 PM Invited TS-SuA-4 Area Selective Atomic Layer Deposition: What, What for, and What Next?
Stacey Bent (Stanford University)
This tutorial on area selective atomic layer deposition (AS-ALD) will explain what it is, what it is useful for, and what is coming next. We will discuss why AS-ALD has become an active area of research and development as an additive process for achieving pattern features at the ~10 nm length scale for semiconductor applications, as well as for new applications within fields as diverse as catalysis and optoelectronics. We will cover what AS-ALD is and the fundamental concepts behind deposition selectivity and how selectivity is quantified. The tutorial will provide a description of different strategies to achieve AS-ALD, including the use of both large and small molecule inhibitors, the role of molecular tuning in achieving selectivity, and methods for improving selectivity. These approaches will be illustrated with representative examples of AS-ALD. Finally, we will look to what is next, including recent developments and a discussion of emerging challenges and opportunities for AS-ALD.
2:30 PM Invited TS-SuA-7 Atomic Layer Etching: Basics, New Developments & Applications
Thorsten Lill (Clarycon Nanotechnology Research, Inc.)

Atomic layer etching (ALE) is becoming an important technology for patterning and shaping of electronic and photonic devices. This tutorial briefly recaps the fundamentals of thermal, directional and plasma assisted atomic layer etching. Performance benefits and limitations for ALE in comparison to the continuous processing analogues such as reactive ion etching, radical and vapor etching are the consequence of the cyclic self-limited structure of ALE processes. This section will conclude with a list of selection criteria for the appropriate etching technology for a given task.

In the second part of the tutorial the enormous progress in the development of new ALE process and chemistries will be illustrated using published and potential practical applications in the manufacturing of electronic and photonics devices. They include multi-patterning, advanced planar and 3D logic and memory devices, 2D materials, emerging memories comprised of hard to etch materials, power and optical devices and combinations of ALE and atomic layer deposition.

3:15 PM Break
3:30 PM Invited TS-SuA-11 Thin Film Process Technologies for the Atomic Scale Era
Robert Clark (TEL)
Continued device density scaling according to Moore’s Law has resulted in the adoption of 3D devices and architectures, and driven critical dimensions down to atomic scales. This tutorial briefly reviews the trends in device scaling since Dennard-style linear shrinking became untenable and outlines the forces driving 3D integration going forward as well as the new challenges these changes pose for future manufacturing process technologies. A look forward at the expected evolution of integrated circuit manufacturing through 3D monolithic and heterogeneous integration is presented to frame the future opportunities and challenges for advanced process technologies. The seminar then introduces selective, self-limited and atomic scale thin film process technologies that can enable 3 nm and beyond semiconductor manufacturing including plasma and thermal chemical vapor deposition (CVD), atomic layer deposition (ALD) and atomic layer etching (ALE) technologies. Selective processing including area selective deposition (ASD) is explained as an emerging technology enabling new device nodes, integration schemes and eventually the shift toward new patterning paradigms. The scope of the discussion includes examples of how these technologies enable self-aligned and sub-lithographic patterning and integration of new device and interconnect structures suitable for atomic scale process nodes. Finally, a path forward for thin film process technology evolution is postulated by summarizing the major trends that will drive thin film innovation in semiconductor manufacturing over the next decade and beyond.
4:15 PM Invited TS-SuA-14 ALD for PV, Current State and Future Prospects
Wei-Min Li (Jiangsu Leadmicro Nano Technology Co. Ltd.)

Silicon based solar cells is rapidly expanding its market share in global clean energy sector. During past few years, industrial ALD has played an instrumental role for increasing conversion efficiency and significantly reducing the manufacturing cost of solar cells, that enabled quest for grid parity. Today, hundreds of GW ALD enabled PV modules are in operation either in power plants or on roof tops worldwide.

Driven by rapid technology transformation from PV industry, both ALD and PEALD are now well recognized in solar cell production lines not only for replacing traditional PVD, CVD for PERC/PERL/PERT cell manufacturers, but also for pioneering alternative solutions for next generation TOPCon, IBC, HJT, as well as tandem solar cell technology. Batch type ALD and PEALD reactors are widely used for the critical layers for silicon-based cell manufacturing. ALD enabled novel n-TOPCon solar cells have reached a conversion efficiency of above 25.5% in production with record throughput at well over 20000 wafers per hour. At mean time, rapid progress of novel materials, for example perovskite for solar cell is providing new opportunities for ALD innovation for PV industry. In-line ALD that can handle 1,2x2.4m glass sheet is entering pilot production line for critical applications. With continuous improvement of materials and process integration, ALD technology is expected to play even an wider role in PV industry.

5:00 PM Invited TS-SuA-17 Sustainable Atomic Layer Processing for Semiconductor Applications
Job Soethoudt (IMEC)

Research into atomic layer processing is key to meeting the growing demand for increasingly complex and versatile semiconductor applications. However, the detrimental adverse sustainability impacts of these semiconductor applications need to be addressed to render them viable for the future. A large part of the life cycle impacts of semiconductor products comes from manufacturing, and recently increasing research efforts are dedicated to making manufacturing more sustainable. The figure below illustrates the CO2-equivalent emissions for production of an integrated circuit, highlighting the contribution of deposition and etch steps. Understanding the source of these contributions can be a catalyst for developing new atomic layer etch and deposition processes with sustainability in mind.

Through data provided within the imec.netzero platform (of which a version is publicly available online ) we find that opportunities for sustainable atomic layer processing are as diverse as the field itself, touching on precursor design, reaction kinetics, and surface modification, as well as process engineering and hardware improvements. Moreover, in some cases the unique control offered by atomic layer processing can itself unlock greener IC chip manufacturing methods by unlocking new integration pathways yielding simplified process flows. Together, these opportunities provide new avenues for research to enable a future of sustainable atomic layer deposition and etch processes.

View Supplemental Document (pdf)
Session Abstract Book
(255KB, Jun 24, 2024)
Time Period SuA Sessions | Abstract Timeline | Topic TS Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule